0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

UVM Transaction-Level驗(yàn)證組件

芯片驗(yàn)證工程師 ? 來源:芯片驗(yàn)證工程師 ? 2023-05-29 09:31 ? 次閱讀

如下圖所示,UVM中的TLM接口為組件之間Transaction的發(fā)送和接收提供了一套統(tǒng)一的通信方法

ae23519a-fd82-11ed-90ce-dac502259ad0.png

一個(gè)簡單的transaction-level 驗(yàn)證環(huán)境的基本組成部分是:

一個(gè)激勵(lì)發(fā)生器(sequencer),用于創(chuàng)建 transaction-level激勵(lì)到DUT。

一個(gè)driver將這些transactions轉(zhuǎn)換為DUT接口的信號級激勵(lì)。

一個(gè)monitor來識別DUT接口上的信號級行為,并將其轉(zhuǎn)換為transactions。

一個(gè)analysis component,如coverage collector或scoreboard,以“分析”transactions。

正如我們看到的,UVM中TLM接口使得驗(yàn)證組件可以非常輕松地復(fù)用,而不考慮其內(nèi)部實(shí)現(xiàn)。

ae47d920-fd82-11ed-90ce-dac502259ad0.png

上圖中各個(gè)驗(yàn)證組件進(jìn)一步組合成驗(yàn)證組件agent。UVM agent是一個(gè)封裝了Sequencer,Driver和Monitor的驗(yàn)證組件,它實(shí)例化這些組件并通過TLM接口連接。由于UVM的可配置性,agent還可以具有配置選項(xiàng),例如UVM 的類型(主動(dòng)/被動(dòng)),是否打開功能覆蓋率收集等功能的旋鈕以及其他類似參數(shù)。

驗(yàn)證環(huán)境開發(fā)者不是單獨(dú)復(fù)用這些low-level的驗(yàn)證組件,而是復(fù)用整個(gè)agent,更容易形成一致的架構(gòu),更容易學(xué)習(xí)、 使用和配置。





審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 發(fā)生器
    +關(guān)注

    關(guān)注

    4

    文章

    1351

    瀏覽量

    61455
  • UVM
    UVM
    +關(guān)注

    關(guān)注

    0

    文章

    181

    瀏覽量

    19088
  • TLM
    TLM
    +關(guān)注

    關(guān)注

    1

    文章

    32

    瀏覽量

    24711
  • DUT
    DUT
    +關(guān)注

    關(guān)注

    0

    文章

    188

    瀏覽量

    12193

原文標(biāo)題:UVM Transaction-Level 驗(yàn)證組件

文章出處:【微信號:芯片驗(yàn)證工程師,微信公眾號:芯片驗(yàn)證工程師】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    IC驗(yàn)證"UVM驗(yàn)證平臺(tái)"組成(三)

    model)。一個(gè)簡單的驗(yàn)證平臺(tái)框圖:在UVM中,引入了agent和sequence的概念,因此UVM驗(yàn)證平臺(tái)的典型框圖長這樣:通知:本章更新后在更新一篇《IC
    發(fā)表于 12-02 15:21

    IC驗(yàn)證"一個(gè)簡單的UVM驗(yàn)證平臺(tái)"是如何搭建的(六)

    組件,是整個(gè)驗(yàn)證平臺(tái)數(shù)據(jù)流的源泉。本節(jié)以一個(gè)簡單的DUT為例,說明一個(gè)只有driver的UVM驗(yàn) 證平臺(tái)是如何搭建的。最簡單的驗(yàn)證平臺(tái),假設(shè)有如下的DUT定義:這個(gè)DUT的功能非常簡
    發(fā)表于 12-04 15:48

    數(shù)字IC驗(yàn)證之“什么是UVM”“UVM的特點(diǎn)”“UVM提供哪些資源”(2)連載中...

    原文鏈接:https://zhuanlan.zhihu.com/p/345775995大家好,我是一哥,上章內(nèi)容主要講述兩個(gè)內(nèi)容,芯片驗(yàn)證以及驗(yàn)證計(jì)劃。那本章我們主要講述的內(nèi)容有介紹什么是uvm
    發(fā)表于 01-21 16:00

    數(shù)字IC驗(yàn)證之“構(gòu)成uvm測試平臺(tái)的主要組件”(4)連載中...

      大家好,我是一哥,上章一個(gè)典型的uvm驗(yàn)證平臺(tái)應(yīng)該是什么樣子的?從本章開始就正式進(jìn)入uvm知識的學(xué)習(xí)。先一步一步搭建一個(gè)簡單的可運(yùn)行的測試平臺(tái)?! ”菊率紫葋斫榻B一下構(gòu)成uvm測試
    發(fā)表于 01-22 15:33

    數(shù)字IC驗(yàn)證之“搭建一個(gè)可以運(yùn)行的uvm測試平臺(tái)”(5)連載中...

    transaction,以及創(chuàng)建用于產(chǎn)生事物的事物發(fā)生器sequence。  在uvm驗(yàn)證平臺(tái)中穿梭各個(gè)組件之間的基本信息單元是一個(gè)被稱為tran
    發(fā)表于 01-26 10:05

    基于UVM驗(yàn)證平臺(tái)設(shè)計(jì)研究

    基于UVM驗(yàn)證平臺(tái)設(shè)計(jì)研究_王國軍
    發(fā)表于 01-07 19:00 ?4次下載

    UVM驗(yàn)證平臺(tái)執(zhí)行硬件加速

    UVM已經(jīng)成為了一種高效率的、從模塊級到系統(tǒng)級完整驗(yàn)證環(huán)境開發(fā)標(biāo)準(zhǔn),其中一個(gè)關(guān)鍵的原則是UVM可以開發(fā)出可重用的驗(yàn)證組件。獲得重用動(dòng)力的一個(gè)
    發(fā)表于 09-15 17:08 ?14次下載
    <b class='flag-5'>UVM</b><b class='flag-5'>驗(yàn)證</b>平臺(tái)執(zhí)行硬件加速

    ASIC芯片設(shè)計(jì)之UVM驗(yàn)證

    百度百科對UVM的釋義如下:通用驗(yàn)證方法學(xué)(Universal Verification Methodology, UVM)是一個(gè)以SystemVerilog類庫為主體的驗(yàn)證平臺(tái)開發(fā)框
    發(fā)表于 11-30 12:47 ?1381次閱讀

    UVM Transaction-Level Modeling (TLM)概述

    驗(yàn)證生產(chǎn)力的關(guān)鍵之一是在一個(gè)合適的抽象級別上考慮驗(yàn)證問題。也就是說,在驗(yàn)證DUT時(shí)應(yīng)該創(chuàng)建一個(gè)支持適當(dāng)抽象級別的驗(yàn)證環(huán)境。
    的頭像 發(fā)表于 05-22 09:58 ?643次閱讀

    典型的UVM Testbench架構(gòu)

    UVM類庫提供了通用的代碼功能,如component hierarchy、transaction level model(TLM),configuration database等等,使用戶能夠創(chuàng)建任何類型的Testbench架構(gòu)
    的頭像 發(fā)表于 05-22 10:14 ?1861次閱讀
    典型的<b class='flag-5'>UVM</b> Testbench架構(gòu)

    UVM Transaction-Level Modeling (TLM)介紹

    驗(yàn)證生產(chǎn)力的關(guān)鍵之一是 **在一個(gè)合適的抽象級別上考慮驗(yàn)證問題** 。也就是說,在驗(yàn)證DUT時(shí)應(yīng)該創(chuàng)建一個(gè)支持適當(dāng)抽象級別的驗(yàn)證環(huán)境。雖然DUT實(shí)際接口都是信號級的,但有必要在
    的頭像 發(fā)表于 05-22 16:19 ?736次閱讀

    UVM TLM的基本概念介紹

    UVM中,transaction 是一個(gè)類對象,它包含了建模兩個(gè)驗(yàn)證組件之間的通信所需的任何信息。
    的頭像 發(fā)表于 05-24 09:17 ?1542次閱讀
    <b class='flag-5'>UVM</b> TLM的基本概念介紹

    驗(yàn)證組件配置參數(shù)

    ? UVM提供了一種配置機(jī)制允許驗(yàn)證環(huán)境集成者在不知道驗(yàn)證組件的具體實(shí)現(xiàn)的條件下配置環(huán)境,示例: uvm_config_db是一個(gè)type-
    的頭像 發(fā)表于 06-14 10:20 ?504次閱讀
    <b class='flag-5'>驗(yàn)證</b><b class='flag-5'>組件</b>配置參數(shù)

    數(shù)字IC驗(yàn)證之基本的TLM通信

    提高驗(yàn)證生產(chǎn)力的關(guān)鍵之一就是在合適的**抽象層次**思考問題和完成驗(yàn)證工作,為此UVM提供了 **事務(wù)級別(transaction level
    發(fā)表于 06-25 11:42 ?454次閱讀
    數(shù)字IC<b class='flag-5'>驗(yàn)證</b>之基本的TLM通信

    fpga驗(yàn)證uvm驗(yàn)證的區(qū)別

    FPGA驗(yàn)證UVM驗(yàn)證在芯片設(shè)計(jì)和驗(yàn)證過程中都扮演著重要的角色,但它們之間存在明顯的區(qū)別。
    的頭像 發(fā)表于 03-15 15:00 ?1123次閱讀