0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

驗(yàn)證符合AXI/ACE標(biāo)準(zhǔn)的互連的策略:第一部分

星星科技指導(dǎo)員 ? 來(lái)源:synopsys ? 作者:Ray Varghese ? 2023-05-29 10:23 ? 次閱讀

用于片上系統(tǒng) (SoC) 中功能塊連接和管理的 AMBA 4 規(guī)范現(xiàn)在具有支持多核計(jì)算的高級(jí)可擴(kuò)展接口 (AXI) 一致性擴(kuò)展 (ACE)。ACE 規(guī)范支持跨多核處理器群集的系統(tǒng)級(jí)緩存一致性。對(duì)這種系統(tǒng)的核查提出了重大挑戰(zhàn)。在規(guī)劃這樣一個(gè)系統(tǒng)的功能驗(yàn)證時(shí),我們需要有一個(gè)有效的測(cè)試策略,以確保不僅測(cè)試協(xié)議的所有方面,而且確保以最少的努力捕獲錯(cuò)誤。換句話說(shuō),我們需要有一個(gè)分層測(cè)試策略,從簡(jiǎn)單的序列發(fā)展到更復(fù)雜的序列。目的是用更簡(jiǎn)單的序列捕獲盡可能多的問(wèn)題,這樣當(dāng)我們移動(dòng)到問(wèn)題空間更大的更復(fù)雜的序列時(shí),我們需要處理的錯(cuò)誤就會(huì)減少。在本系列中,我們將提出這樣的分層驗(yàn)證策略。本系列中的每篇文章都將描述:

正在測(cè)試的高級(jí)功能以及用于測(cè)試這些功能的序列

在此測(cè)試級(jí)別中,DUT 面臨的常見(jiàn)問(wèn)題

wKgZomR0DIyAfod4AAEKGbozhT0700.jpg

ACE 的分層測(cè)試 從 ACE

的角度來(lái)看,我們應(yīng)該在每個(gè)層次結(jié)構(gòu)級(jí)別測(cè)試什么?這些可能是:

集成/連接測(cè)試

系統(tǒng)是否正確連接?

每個(gè)主站能否正確訪問(wèn)系統(tǒng)中的每個(gè)從站?

互連路由事務(wù)是否正確?

互連是否正確寫(xiě)入/讀取數(shù)據(jù)?

基本一致性事務(wù)測(cè)試

ACE 協(xié)議使用許多不同類型的事務(wù)。這些事務(wù)中的每一個(gè)都可以由具有許多不同狀態(tài)的相應(yīng)緩存行(以下稱為初始緩存行狀態(tài))的主服務(wù)器啟動(dòng)。對(duì)于這些州中的每一個(gè),都有允許的法律回應(yīng)。隨著最終緩存行狀態(tài)(事務(wù)結(jié)束后)由各種配置選項(xiàng)確定,問(wèn)題空間變得更加復(fù)雜。我們需要確保測(cè)試每個(gè)初始緩存行狀態(tài)的所有響應(yīng)類型。在此級(jí)別的測(cè)試中,我們確保系統(tǒng)針對(duì)每種交易類型正確且一致地工作。

涉及訪問(wèn)重疊地址的測(cè)試

該規(guī)范給出了當(dāng)兩個(gè)主站訪問(wèn)相同/重疊地址時(shí)互連要遵守的幾條規(guī)則。在此級(jí)別的測(cè)試中,我們執(zhí)行序列以確保對(duì)重疊地址的所有訪問(wèn)都遵循這些規(guī)則

DVM 和屏障交易測(cè)試

全面的隨機(jī)測(cè)試(包括對(duì)重疊地址的訪問(wèn))

在這篇文章中,我們將詳細(xì)說(shuō)明分層驗(yàn)證的第一個(gè)方面。

集成和連接測(cè)試

前面已經(jīng)提到了集成和連接測(cè)試的關(guān)鍵驗(yàn)證要求。驗(yàn)證 IP 通常提供用于生成相關(guān)流量的現(xiàn)成序列。VIP附帶的一組此類序列或序列庫(kù)可以用作滿足用戶要求的起點(diǎn)。這使用戶能夠在適當(dāng)?shù)?a target="_blank">仿真階段方便地使用它們,并修改與其DUT相關(guān)的所需參數(shù)。因此,即使對(duì)于集成測(cè)試,用戶也可以利用VIP附帶的一些基本序列。讓我們看一下可用于此目的的序列類型。鑒于我們想要查看所有有效路徑,我們應(yīng)該有一組序列,這些序列將從 ACE/ACE_LITE 主站啟動(dòng) WriteNoSnoop 和 ReadNoSnoop 事務(wù),該主站使用屬性指定,例如port_id,可以是隨機(jī)端口或用戶配置的特定端口?!皃ort_id”是一個(gè)屬性,可以配置為控制要從中啟動(dòng)事務(wù)的端口。然后,應(yīng)在系統(tǒng)中的所有主服務(wù)器上運(yùn)行這些序列。

下面是一個(gè)示例。以下代碼片段顯示了如何配置 port_id 屬性:

uvm_config_db#(int unsigned)::set(this, “env.axi_system_env.sequencer.svt_axi_ace_master_readnosnoop_sequence”, “port_id”, 1);

此屬性的默認(rèn)值可以根據(jù)系統(tǒng)中的主節(jié)點(diǎn)數(shù)量隨機(jī)化為有效值。

我們還需要確保主站訪問(wèn)系統(tǒng)中允許它訪問(wèn)的所有從站,以便測(cè)試所有路徑。為此,我們需要根據(jù)系統(tǒng)地址映射來(lái)約束地址,以便我們可以確保覆蓋所有路徑。這可以通過(guò)定義自定義約束來(lái)完成。

這就是我們?nèi)绾卧趶闹鞫藛?dòng)的事務(wù)上創(chuàng)建自定義約束:

類cust_svt_axi_master_transaction擴(kuò)展svt_axi_master_transaction;
蘭德整數(shù) slave_port_id = 0;
約束 valid_slave_port_id {
slave_port_id 在 {[0:'SVT_AXI_MAX_NUM_SLAVES-1]};
//' SVT_AXI_MAX_NUM_SLAVES 定義系統(tǒng)環(huán)境中
從屬服務(wù)器的最大數(shù)量 }
約束cust_addr_ranges_constraint { // 從主服務(wù)器 0 訪問(wèn): if (port_cfg.port_id == 0) { /

/ 訪問(wèn)從屬服務(wù)器 0

if (slave_port_id == 0) {
addr inside {[0:32'hff]}
}
else if (slave_port_id == 1) {
addr inside {[32'h10000:32'h100ff]};
}
Accesses from master 1 }
else if (port_cfg.port_id == 1) { }
}
endclass

集成測(cè)試中的關(guān)鍵驗(yàn)證點(diǎn)和潛在問(wèn)題

系統(tǒng)連接

SoC 有數(shù)百個(gè)信號(hào)需要連接,而其中一些信號(hào)通常連接不正確。如果未連接,VIP 將在這些信號(hào)上觀察到“X”,并報(bào)告指示相同的錯(cuò)誤。例如,此錯(cuò)誤可能表示互連的主端口和從機(jī)[2] VIP之間未連接ARCACHE信號(hào):

ace_system_env.slave[2].monitor [register_fail] 檢查 [效果=錯(cuò)誤]:執(zhí)行和失敗 – 啟用 AMBA 檢查:signal_valid_arsnoop_when_arvalid_high_check(ACE_LITE/版本 2.0),描述:當(dāng) ARVALID 為高時(shí),監(jiān)視器檢查 ARCACHE 上的 X 或 Z

事務(wù)路由

互連必須根據(jù)系統(tǒng)地址映射正確路由事務(wù)。應(yīng)該有適當(dāng)?shù)姆椒▉?lái)指定 VIP 的系統(tǒng)地址映射。如果互連路由事務(wù)不正確,系統(tǒng)監(jiān)視器可以標(biāo)記相應(yīng)的“事務(wù)路由檢查”。

數(shù)據(jù)完整性

此級(jí)別測(cè)試的一個(gè)關(guān)鍵方面是確保數(shù)據(jù)完整性。寫(xiě)入事務(wù)中的數(shù)據(jù)必須正確寫(xiě)入從站。同樣,從從站獲取的數(shù)據(jù)必須正確返回給主站。系統(tǒng)監(jiān)視器應(yīng)通過(guò)在事務(wù)完成后(在啟動(dòng)事務(wù)的主服務(wù)器上)比較內(nèi)存中的數(shù)據(jù)和事務(wù)來(lái)執(zhí)行這些檢查。系統(tǒng)監(jiān)視器應(yīng)具有跨不同數(shù)據(jù)寬度的端口執(zhí)行這些檢查所需的基礎(chǔ)結(jié)構(gòu)。為了使數(shù)據(jù)完整性檢查正常工作,在從屬VIP上運(yùn)行的序列必須更新相應(yīng)代理中的從屬內(nèi)存實(shí)例。如果從屬VIP配置為被動(dòng)模式,則系統(tǒng)監(jiān)視器應(yīng)維護(hù)內(nèi)存鏡像并根據(jù)總線上的活動(dòng)對(duì)其進(jìn)行更新。如果數(shù)據(jù)未正確寫(xiě)入/讀取,系統(tǒng)監(jiān)視器應(yīng)標(biāo)記數(shù)據(jù)完整性檢查。

在這篇文章中,我們描述了測(cè)試策略以及集成和連接測(cè)試的關(guān)鍵方面。在下一篇文章中,我們將討論連貫事務(wù)測(cè)試,我們將進(jìn)入有趣且具有挑戰(zhàn)性的緩存一致性世界。

審核編輯:郭婷

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • soc
    soc
    +關(guān)注

    關(guān)注

    38

    文章

    4021

    瀏覽量

    217041
  • ACE
    ACE
    +關(guān)注

    關(guān)注

    0

    文章

    21

    瀏覽量

    10617
  • AXI
    AXI
    +關(guān)注

    關(guān)注

    1

    文章

    127

    瀏覽量

    16514
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    數(shù)據(jù)增大是否是AXI互連一部分?

    AXI3端口。互連如何工作?3.數(shù)據(jù)增大是否是AXI互連一部分?以上來(lái)自于谷歌翻譯以下為原文I have doubts on
    發(fā)表于 04-01 10:10

    保護(hù)您的 IP 核——第一部分軟 IP——前言

    保護(hù)您的 IP 核——第一部分軟 IP——前言 隨著全球化硬件設(shè)計(jì)和制造過(guò)程的激增以及IP供應(yīng)商之間的競(jìng)爭(zhēng),IP盜版/假冒、虛假所有權(quán)等威脅正在加劇。因此,保護(hù)?? IP 核設(shè)計(jì)的要求及其代表的專有
    發(fā)表于 02-23 11:59

    免調(diào)節(jié)中頻VCO的實(shí)現(xiàn)(第一部分)

    免調(diào)節(jié)中頻VCO的實(shí)現(xiàn)(第一部分)
    發(fā)表于 05-07 13:21 ?24次下載

    微型模塊電源產(chǎn)品第一部分

    微型模塊電源產(chǎn)品第一部分,有需要的下來(lái)看看
    發(fā)表于 03-17 17:43 ?0次下載

    GBT 20234.1-2011 第一部分

    電動(dòng)汽車傳導(dǎo)充電用連接裝置第一部分,通用部分
    發(fā)表于 05-10 14:24 ?1次下載

    中國(guó)NB-IOT產(chǎn)業(yè)聯(lián)盟-第一部分問(wèn)題清單-20160824

    中國(guó)NB-IOT產(chǎn)業(yè)聯(lián)盟-第一部分問(wèn)題清單
    發(fā)表于 01-11 12:16 ?9次下載

    2012年P(guān)SoC數(shù)模混合設(shè)計(jì)培訓(xùn)_第一部分

    2012年P(guān)SoC數(shù)?;旌显O(shè)計(jì)培訓(xùn)_第一部分
    發(fā)表于 10-27 09:35 ?4次下載

    怎樣通過(guò)Altera 的設(shè)計(jì)商店找到并使用實(shí)例設(shè)計(jì) (第一部分)

    怎樣通過(guò)Altera 的設(shè)計(jì)商店找到并使用實(shí)例設(shè)計(jì) (第一部分)
    的頭像 發(fā)表于 06-11 17:19 ?1716次閱讀
    怎樣通過(guò)Altera 的設(shè)計(jì)商店找到并使用實(shí)例設(shè)計(jì) (<b class='flag-5'>第一部分</b>)

    AN-388: 使用Σ-Δ轉(zhuǎn)換器—第一部分[中文版]

    AN-388: 使用Σ-Δ轉(zhuǎn)換器—第一部分[中文版]
    發(fā)表于 03-19 08:32 ?0次下載
    AN-388: 使用Σ-Δ轉(zhuǎn)換器—<b class='flag-5'>第一部分</b>[中文版]

    有時(shí)你需要點(diǎn)收獲第一部分

    有時(shí)你需要點(diǎn)收獲第一部分
    發(fā)表于 04-20 10:31 ?1次下載
    有時(shí)你需要<b class='flag-5'>一</b>點(diǎn)收獲<b class='flag-5'>第一部分</b>

    第一部分、硬件設(shè)計(jì)

    第一部分、硬件設(shè)計(jì)我把個(gè)硬件系統(tǒng)分為五個(gè)單元:電源單元、單片機(jī)單元、輸入單元、輸出單元、通信單元。如下圖:
    發(fā)表于 12-09 12:36 ?16次下載
    <b class='flag-5'>第一部分</b>、硬件設(shè)計(jì)

    《家用和類似用途電器的安全第一部分:通用要求》pdf

    《家用和類似用途電器的安全第一部分:通用要求》pdf
    發(fā)表于 01-07 11:50 ?3次下載

    生成任意量級(jí)偏置電流網(wǎng)絡(luò)(第一部分

    生成任意量級(jí)偏置電流網(wǎng)絡(luò)(第一部分
    發(fā)表于 11-03 08:04 ?1次下載
    生成任意量級(jí)偏置電流網(wǎng)絡(luò)(<b class='flag-5'>第一部分</b>)

    驗(yàn)證符合AXI/ACE標(biāo)準(zhǔn)互連策略:第二部分

    的每個(gè)都有組與互連不同的響應(yīng)要求。此外,這些事務(wù)中的每個(gè)都可以在多個(gè)配置中使用。我們需要驗(yàn)證互連
    的頭像 發(fā)表于 05-29 10:24 ?2733次閱讀
    <b class='flag-5'>驗(yàn)證</b><b class='flag-5'>符合</b><b class='flag-5'>AXI</b>/<b class='flag-5'>ACE</b><b class='flag-5'>標(biāo)準(zhǔn)</b>的<b class='flag-5'>互連</b>的<b class='flag-5'>策略</b>:第二<b class='flag-5'>部分</b>

    驅(qū)動(dòng)ADC 第一部分

    電子發(fā)燒友網(wǎng)站提供《差驅(qū)動(dòng)ADC 第一部分.pdf》資料免費(fèi)下載
    發(fā)表于 11-23 16:36 ?0次下載
    差<b class='flag-5'>分</b>驅(qū)動(dòng)ADC <b class='flag-5'>第一部分</b>