0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀(guān)看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何在低功耗設(shè)計(jì)驗(yàn)證中盡早快速左移

星星科技指導(dǎo)員 ? 來(lái)源:synopsys ? 作者:Avinash Palepu ? 2023-05-29 10:38 ? 次閱讀

隨著半導(dǎo)體行業(yè)向更小的工藝節(jié)點(diǎn)發(fā)展,靜態(tài)功耗已成為主要的設(shè)計(jì)限制。這需要開(kāi)發(fā)各種電源管理技術(shù)。例如,設(shè)計(jì)人員可能會(huì)創(chuàng)建多個(gè)電壓域,或使用隔離單元將關(guān)斷電源域與上電域隔離開(kāi)來(lái)。他們可能會(huì)應(yīng)用電平轉(zhuǎn)換器信號(hào)從一個(gè)域傳播到另一個(gè)域時(shí)向上或向下縮放信號(hào)電壓,或者使用保留單元在關(guān)斷前更快地將寄存器返回到其狀態(tài)。與用于指定設(shè)計(jì)功能意圖的硬件描述語(yǔ)言 (HDL) 一樣,實(shí)現(xiàn)這些技術(shù)需要一種通用語(yǔ)言來(lái)指定設(shè)計(jì)的電源意圖:統(tǒng)一電源格式 (UPF)。

考慮到當(dāng)今SoC的應(yīng)用非常復(fù)雜且通常具有特定性,設(shè)計(jì)和實(shí)現(xiàn)所需功能以及時(shí)序和功耗要求的努力是巨大的。但僅僅實(shí)現(xiàn)這些目標(biāo)是不夠的。最終用戶(hù)對(duì)在最短的時(shí)間內(nèi)獲得最新功能的永不滿(mǎn)足的渴望給IP開(kāi)發(fā)團(tuán)隊(duì)帶來(lái)了壓力。為了滿(mǎn)足上市時(shí)間目標(biāo),芯片設(shè)計(jì)公司通常采用并行開(kāi)發(fā)方法,其中不同的團(tuán)隊(duì)編寫(xiě)寄存器傳輸級(jí)別 (RTL)、Synopsys 設(shè)計(jì)約束 (SDC) 文件、UPF 文件等。

盡管設(shè)計(jì)和UPF的開(kāi)發(fā)齊頭并進(jìn),但它們的時(shí)間表可能會(huì)有所不同,這可能會(huì)導(dǎo)致一些挑戰(zhàn)。在這篇博文中,我將解釋如何使用 Synopsys VC LP? 獨(dú)立于設(shè)計(jì)的 UPF Checker (VC UPF) 克服這些挑戰(zhàn)。請(qǐng)繼續(xù)閱讀,了解 VC UPF 如何讓您在 RTL 準(zhǔn)備就緒之前清理 UPF 文件中與設(shè)計(jì)無(wú)關(guān)的問(wèn)題。

優(yōu)化您的 SoC 電源設(shè)計(jì)

在設(shè)計(jì) SoC 時(shí),UPF 的第一個(gè)版本甚至在 RTL 最終確定之前就已經(jīng)準(zhǔn)備就緒。除非設(shè)計(jì)準(zhǔn)備就緒,否則無(wú)法驗(yàn)證UPF的準(zhǔn)確性和完整性。因此,通常有一個(gè)窗口,編寫(xiě)UPF的工程師只是在等待RTL第一次切割的關(guān)閉。一旦設(shè)計(jì)準(zhǔn)備就緒,工程師就會(huì)運(yùn)行一個(gè)靜態(tài)檢查工具來(lái)驗(yàn)證UPF與設(shè)計(jì)的關(guān)系,這時(shí)他或她意識(shí)到UPF中存在一些基本問(wèn)題,即使不閱讀設(shè)計(jì),這些問(wèn)題也可能被捕獲。不幸的是,在這個(gè)過(guò)程中已經(jīng)浪費(fèi)了一些時(shí)間。UPF 修復(fù)和檢查的多次迭代會(huì)導(dǎo)致較長(zhǎng)的周轉(zhuǎn)時(shí)間!

這就是VC UPF來(lái)拯救的地方。

wKgaomR0Fq-AGFGLAAGNIDiXHDM676.png

顧名思義,VC UPF 使電源意圖所有者能夠在 RTL 準(zhǔn)備就緒之前清理 UPF 文件中與設(shè)計(jì)無(wú)關(guān)的問(wèn)題。該解決方案包括:

UPF 語(yǔ)法和語(yǔ)義檢查

基于UPF供應(yīng)分析的檢查

PST 一致性檢查

UPF 網(wǎng)絡(luò)相關(guān)一致性檢查

基于允許/不允許的命令和選項(xiàng)的方法特定檢查

讓我們看幾個(gè)例子來(lái)了解這如何提供幫助。

考慮UPF供電網(wǎng)絡(luò)未定義任何電源狀態(tài)的情況。只有在閱讀設(shè)計(jì)、加載 UPF 并完成 UPF 檢查后,用戶(hù)才會(huì)意識(shí)到,由于缺少狀態(tài),有些交叉無(wú)法進(jìn)行分析。使用 VC UPF,此信息將在幾分鐘內(nèi)提供。

wKgZomR0FqmATXQEAAMJK3T6aBw091.png

在另一種情況下,隔離策略的隔離電源網(wǎng)絡(luò)可能在所需域中不可用。

wKgaomR0FqOAQpHlAAKt_WPVX0w647.png

這是另一個(gè)例子。考慮從 PD3 到 PD2 的路徑。沒(méi)有電氣問(wèn)題,因?yàn)镺FF-》ON交叉路口由隔離單元正確保護(hù)。因此,傳統(tǒng)的電氣檢查不會(huì)標(biāo)記任何違規(guī)行為。但在同樣的情況下,存在一個(gè)功能問(wèn)題,即來(lái)自 PD1 的信號(hào)被 PD3 中的組合阻擋,該組合已關(guān)閉電源。

wKgZomR0FpiARSbaAAIsp1g37zI772.png

VC LP 中的新高級(jí)檢查正確標(biāo)記了問(wèn)題,并提醒設(shè)計(jì)人員,盡管路徑已正確隔離,但來(lái)自 PD1 的信號(hào)被 OFF 域中的組合阻止,只有箝位值才能達(dá)到 PD2。

wKgaomR0FpCAIkGWAAKEueqdaOE299.png

根據(jù)違規(guī)情況,設(shè)計(jì)人員應(yīng)驗(yàn)證路徑是否旨在在指示的電源狀態(tài)期間攜帶功能信息。如果它在給定電源狀態(tài)下不起作用,則無(wú)需進(jìn)一步操作。另一方面,如果路徑正常工作,則需要打開(kāi)指示的緩沖器/逆變器/組合,并且需要修復(fù)與組合的電源連接。通過(guò)仿真識(shí)別這些問(wèn)題非常耗時(shí),但借助 VC LP,您現(xiàn)在可以在設(shè)計(jì)周期的早期發(fā)現(xiàn)這些問(wèn)題,并節(jié)省仿真時(shí)間。

雖然VC UPF的主要?jiǎng)訖C(jī)是早期清理UPF,但它也可用于SoC的UPF管理。 復(fù)雜的SoC具有來(lái)自不同供應(yīng)商/組的IP塊的UPF文件,這通常會(huì)導(dǎo)致UPF修改延遲以及計(jì)劃和重新驗(yàn)證延遲。為了避免這種情況,CAD 團(tuán)隊(duì)可能會(huì)強(qiáng)制執(zhí)行一些引導(dǎo)軟件規(guī)則,以符合 IP 供應(yīng)商的 UPF 可交付成果,以實(shí)現(xiàn)平穩(wěn)的 SoC 集成。VC UPF 可以幫助使用 disallow_* 命令或 allow_* 命令執(zhí)行此類(lèi)指南軟件 UPF 構(gòu)造檢查。

同樣,在 SoC 集成期間,您可能不希望從頂部覆蓋特定的 IP 級(jí) UPF TCL 變量。帶有設(shè)計(jì)檢查工具的標(biāo)準(zhǔn)UPF永遠(yuǎn)不會(huì)認(rèn)識(shí)到這是一個(gè)問(wèn)題。但是使用 VC UPF,您可以指定此類(lèi)受保護(hù)變量的列表,并快速找出其中是否有任何變量已被頂級(jí) UPF 覆蓋。還可以驗(yàn)證 IP 的 UPF 版本與 SoC UPF 的兼容性。根據(jù)有關(guān)允許和不允許哪些 UPF 版本組合的用戶(hù)指南,VC UPF 可以執(zhí)行 IP 與 SoC 一致性檢查。請(qǐng)注意,否則,VC LP 與 UPF 版本無(wú)關(guān),并且“upf_version”沒(méi)有后果。

經(jīng)過(guò)生產(chǎn)驗(yàn)證的功耗感知靜態(tài)檢查簽核

Synopsys VC LP 解決方案為 UPF 提供準(zhǔn)確且經(jīng)過(guò)生產(chǎn)驗(yàn)證的支持,與其他用于靜態(tài)低功耗檢查和調(diào)試的工具緊密集成:

Synopsys Verdi? 自動(dòng)化調(diào)試系統(tǒng)提供事實(shí)上的行業(yè)標(biāo)準(zhǔn)工作流程、界面和調(diào)試功能。

Synopsys IC 編譯器? II 布局布線(xiàn)解決方案使用 VC LP 工具在設(shè)計(jì)周期的早期識(shí)別 UPF 和相關(guān)違規(guī)行為。IC 編譯器 II 命令可用于修復(fù)發(fā)現(xiàn)的沖突。

Synopsys VC 正式?的下一代形式驗(yàn)證解決方案提供功耗感知連接檢查。

總結(jié)

不言而喻,設(shè)計(jì)滿(mǎn)足所需功能、時(shí)序和功耗要求的低功耗 SoC 需要付出很多努力。這項(xiàng)事業(yè)也面臨著嚴(yán)格的上市時(shí)間壓力。通常,芯片設(shè)計(jì)公司選擇并行開(kāi)發(fā)方法,其中不同的團(tuán)隊(duì)編寫(xiě) RTL、設(shè)計(jì)約束文件、UPF 文件等。但是,雖然設(shè)計(jì)和UPF的開(kāi)發(fā)可能齊頭并進(jìn),但它們的時(shí)間表可能會(huì)有所不同。

VC LP 解決方案使電源意圖所有者能夠在 RTL 準(zhǔn)備就緒之前清理 UPF 文件中與設(shè)計(jì)無(wú)關(guān)的問(wèn)題。該解決方案還可用于 SoC 的 UPF 管理,因?yàn)閺?fù)雜的 SoC 通常具有來(lái)自不同供應(yīng)商的 IP 的 UPF 文件,這可能會(huì)導(dǎo)致 UPF 修改延遲以及計(jì)劃和重新驗(yàn)證延遲。由于能夠盡早清理UPF,工程師可以在低功耗SoC設(shè)計(jì)周期中節(jié)省時(shí)間和精力。

審核編輯:郭婷

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀(guān)點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 數(shù)據(jù)包
    +關(guān)注

    關(guān)注

    0

    文章

    238

    瀏覽量

    24244
  • MIPI
    +關(guān)注

    關(guān)注

    10

    文章

    303

    瀏覽量

    48372
  • DSI
    DSI
    +關(guān)注

    關(guān)注

    0

    文章

    51

    瀏覽量

    42273
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    請(qǐng)問(wèn)DTU如何在低功耗下正常收發(fā)數(shù)據(jù)?

    DTU如何在低功耗下正常收發(fā)數(shù)據(jù)?
    發(fā)表于 07-25 08:04

    RTOS低功耗設(shè)計(jì)原理及實(shí)現(xiàn)_TicklessMode(FreeRTOS的實(shí)現(xiàn))

    目前, 越來(lái)越多的嵌入式產(chǎn)品在開(kāi)發(fā)中使用 RTOS 作為軟件平臺(tái), 同時(shí),開(kāi)發(fā)對(duì)低功耗的要求也越來(lái)越高, 這篇文檔會(huì)討論一下如何在 RTOS 處理微控制器的
    發(fā)表于 11-10 09:54

    低功耗藍(lán)牙怎么低功耗?如何界定

    ``什么是低功耗?如何界定* 平均工作電流為 uA 級(jí)* 峰值電流不超過(guò) 15mA* 采用紐扣電池供電,電池壽命可達(dá)數(shù)年 在很多低功耗應(yīng)用場(chǎng)景,是采用紐扣電池來(lái)供電的,采用紐扣電池來(lái)供電是
    發(fā)表于 02-06 15:32

    低功耗ADC的低功耗參考和雙極電壓調(diào)節(jié)電路精密驗(yàn)證設(shè)計(jì)

    描述此 TI 精密驗(yàn)證設(shè)計(jì)可為單電源、低功耗信號(hào)調(diào)整電路提供原理、組件選擇和仿真,旨在將 +/-5 V 輸入信號(hào)轉(zhuǎn)換為單電源低功耗 16 位 ΔΣ ADC 的正確輸入范圍,例如,MSP430 內(nèi)部
    發(fā)表于 11-15 10:13

    【NUCLEO-L552ZE-Q開(kāi)發(fā)板試用連載】低功耗智能電表驗(yàn)證

    項(xiàng)目名稱(chēng):低功耗智能電表驗(yàn)證 試用計(jì)劃:申請(qǐng)理由本人在嵌入式實(shí)時(shí)操作系統(tǒng)領(lǐng)域有五年多的工作和開(kāi)發(fā)經(jīng)驗(yàn),使用過(guò)uCOS、FreeRTOS、LiteOS等實(shí)時(shí)操作系統(tǒng)做項(xiàng)目開(kāi)發(fā),對(duì)實(shí)時(shí)操作系統(tǒng)技術(shù)有過(guò)
    發(fā)表于 03-11 15:35

    何在汽車(chē)設(shè)計(jì)低功耗

    每輛汽車(chē)中都有一個(gè)包含傳感器、電機(jī)和開(kāi)關(guān)的龐大車(chē)載網(wǎng)絡(luò)。這些網(wǎng)絡(luò)不斷發(fā)展以適應(yīng)車(chē)輛上日益增加的連通性,總功耗也隨之增加,因此可能會(huì)對(duì)車(chē)輛的排放產(chǎn)生負(fù)面影響。 根據(jù)所使用的網(wǎng)絡(luò)協(xié)議,有幾種方法可以降低功耗
    發(fā)表于 11-04 07:07

    何在低功耗Bluetooth? PEPS系統(tǒng)添加CAN節(jié)點(diǎn)

    圖 1 所示為車(chē)內(nèi)低功耗藍(lán)牙 PEPS 的典型架構(gòu)。該架構(gòu)中有一個(gè)中央智能鑰匙模塊和九個(gè)衛(wèi)星模塊。此處所示的九個(gè)衛(wèi)星模塊僅為示例,在實(shí)際應(yīng)用,衛(wèi)星模塊的數(shù)量可能更多或更少。圖 1 還顯示了這些模塊
    發(fā)表于 11-09 08:13

    何在低功耗模式下使用SPC560bxx的RTC呢?

    你好我對(duì) SPC560bxx 的 RTC 有疑問(wèn)。當(dāng)你仔細(xì)閱讀 RTC 文檔時(shí),你會(huì)注意到它實(shí)際上是一個(gè) 32 位計(jì)數(shù)器,同樣運(yùn)行在低功耗模式下并發(fā)出中斷。該模塊只是一個(gè)計(jì)數(shù)器,在低功耗模式下沒(méi)有寄存器來(lái)保存值。我說(shuō)得對(duì)嗎?如
    發(fā)表于 12-22 06:19

    何在DDR完成imx8m低功耗管理?

    我想弄清楚在電源故障期間如何在 DDR 完成 imx8m 低功耗管理。 1. 如何從 A53 之一命令 scu 將 DDR 置于自刷新模式。2. 一旦 DDR 處于自刷新模式,A53 會(huì)崩潰嗎?一旦收到良好的電源信號(hào),我們?nèi)绾?/div>
    發(fā)表于 04-07 06:36

    藍(lán)牙低功耗OTA測(cè)試系統(tǒng)的無(wú)線(xiàn)性能驗(yàn)證問(wèn)題解決方案

    目前,藍(lán)牙低功耗技術(shù)(BLE)正迅速成為部署最為廣泛的無(wú)線(xiàn)技術(shù)之一,在資產(chǎn)跟蹤、健身監(jiān)測(cè)、定位服務(wù)和遙感等各種應(yīng)用領(lǐng)域中廣為使用。 BLE 設(shè)備通常外形小巧、堅(jiān)固耐用,且常常完全封裝在保護(hù)外殼
    發(fā)表于 12-13 17:17 ?3010次閱讀
    藍(lán)牙<b class='flag-5'>低功耗</b>OTA測(cè)試系統(tǒng)的無(wú)線(xiàn)性能<b class='flag-5'>驗(yàn)證</b>問(wèn)題解決方案

    CPF低功耗解決方案在在低功耗驗(yàn)證過(guò)程應(yīng)用分析

    為了延長(zhǎng)電子便攜式產(chǎn)品的電池使用時(shí)間,降低設(shè)計(jì)功耗變得越來(lái)越重要。在IC設(shè)計(jì)流程,多種電源管理技術(shù)逐漸被應(yīng)用,如clockgating,MSV(Multiple Supply Voltage),PSO(Power ShutOff)。然而這些
    的頭像 發(fā)表于 09-06 07:53 ?5791次閱讀
    CPF<b class='flag-5'>低功耗</b>解決方案在在<b class='flag-5'>低功耗</b><b class='flag-5'>驗(yàn)證</b>過(guò)程<b class='flag-5'>中</b>應(yīng)用分析

    何在RTOS處理微控制器的低功耗特性

    目前, 越來(lái)越多的嵌入式產(chǎn)品在開(kāi)發(fā)中使用 RTOS 作為軟件平臺(tái), 同時(shí),開(kāi)發(fā)對(duì)低功耗的要求也越來(lái)越高, 本文會(huì)討論一下如何在 RTOS 處理微控制器的
    的頭像 發(fā)表于 04-19 15:15 ?1400次閱讀

    選擇性喚醒的控制器局域網(wǎng)收發(fā)器如何在汽車(chē)設(shè)計(jì)低功耗

    選擇性喚醒的控制器局域網(wǎng)收發(fā)器如何在汽車(chē)設(shè)計(jì)低功耗
    發(fā)表于 10-28 12:00 ?0次下載
    選擇性喚醒的控制器局域網(wǎng)收發(fā)器如<b class='flag-5'>何在</b>汽車(chē)設(shè)計(jì)<b class='flag-5'>中</b>降<b class='flag-5'>低功耗</b>

    新唐藍(lán)牙低功耗MCU帶你快速實(shí)現(xiàn)攝影燈光應(yīng)用

    新唐藍(lán)牙低功耗MCU帶你快速實(shí)現(xiàn)攝影燈光應(yīng)用
    的頭像 發(fā)表于 08-10 11:24 ?614次閱讀
    新唐藍(lán)牙<b class='flag-5'>低功耗</b>MCU帶你<b class='flag-5'>快速</b>實(shí)現(xiàn)攝影燈光應(yīng)用

    X態(tài)傳播在低功耗驗(yàn)證的作用

    隨著科技的發(fā)展和智能化設(shè)備的普及,我們對(duì)于高效能、低功耗的半導(dǎo)體設(shè)備需求愈加強(qiáng)烈,對(duì)低功耗仿真的需求成指數(shù)級(jí)增長(zhǎng)。X態(tài)傳播分析是低功耗仿真的重要部分,但其作用往往會(huì)被低估。
    的頭像 發(fā)表于 01-24 09:34 ?491次閱讀
    X態(tài)傳播在<b class='flag-5'>低功耗</b><b class='flag-5'>驗(yàn)證</b><b class='flag-5'>中</b>的作用