0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

VCS編譯選項(xiàng):-y及+libext+

sanyue7758 ? 來(lái)源:處芯積律 ? 2023-05-29 14:46 ? 次閱讀

VCS是一款常見的Verilog編譯工具,它提供很多編譯選項(xiàng)來(lái)控制編譯過(guò)程及其輸出。本文主要介紹以下兩個(gè)編譯選項(xiàng):

-y

用于指定搜索路徑。例如,如果你將一個(gè)設(shè)計(jì)分為若干個(gè)不同的模塊或模塊庫(kù),并希望在編譯期間找到這些文件,就可以使用-y選項(xiàng)告訴編譯器應(yīng)該去哪里尋找它們。例如,如果你的模塊庫(kù)位于"/path/to/mylib",可以使用以下命令:

vcs -y /path/to/mylib mydesign.v
編譯器在編譯mydesign時(shí)會(huì)在"/path/to/mylib"中查找任何需要的文件。

+libext+<.v>+<.svp>

用于指定庫(kù)擴(kuò)展名。默認(rèn)情況下,VCS會(huì)假設(shè)庫(kù)的擴(kuò)展名為".v"。但是,如果你的模塊庫(kù)使用了其他擴(kuò)展名(例如".sv"或".vh"),那么你需要通過(guò)此選項(xiàng)告訴編譯器使用正確的擴(kuò)展名。例如,如果你的模塊庫(kù)使用".svh"作為其擴(kuò)展名,則可以使用以下命令:

vcs +libext+.svh mydesign.v

這樣編譯器在編譯期間將所有包含庫(kù)引用的".svh"文件視為庫(kù)文件。

以上兩個(gè)選項(xiàng)經(jīng)常一起使用。例如,如果你有一個(gè)模塊庫(kù),其文件位于"/path/to/mylib",并且使用".sv"作為擴(kuò)展名,則可以將以下命令用于編譯:

vcs -y /path/to/mylib +libext+.sv mydesign.v

編譯器在編譯過(guò)程中會(huì)在"/path/to/mylib"中查找任何需要的文件,并將所有包含庫(kù)引用的".sv"文件視為庫(kù)文件。

此外,在使用這兩個(gè)選項(xiàng)時(shí)還可以結(jié)合使用其他選項(xiàng)來(lái)指定編譯器的行為。例如:

+incdir+選項(xiàng):用于指定頭文件的搜索路徑。與-y選項(xiàng)類似,但是專門用于包含頭文件而不是模塊庫(kù)文件。

+define+選項(xiàng):用于定義預(yù)處理器宏。可以通過(guò)此選項(xiàng)為代碼中的條件編譯指令提供值。

+warn=noxxx選項(xiàng):用于禁用特定的警告信息。例如,"+warn=noDNF"將關(guān)閉關(guān)于DNF表達(dá)式的警告。

如下面的示例:

vcs -y /path/to/mylib +libext+.sv +incdir+/path/to/header +define+DEBUG +warn=nodnf mydesign.v

這將啟用以下行為:

編譯器將在"/path/to/mylib"中查找任何需要的文件,并將所有包含庫(kù)引用的".sv"文件視為庫(kù)文件。

編譯器將在"/path/to/header"中查找任何需要的頭文件。

編譯器將為代碼中的"DEBUG"宏設(shè)置值。

編譯器將關(guān)閉關(guān)于DNF表達(dá)式的警告。

最近使用VIP中遇到“cannot find cell in liblist”的編譯錯(cuò)誤,所以特別介紹以上的兩個(gè)選項(xiàng)。如果你在工作中也遇到類似問(wèn)題,可以先檢查一下這兩個(gè)選項(xiàng)是否指定正確。

全文完,感謝閱讀。

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 模塊
    +關(guān)注

    關(guān)注

    7

    文章

    2613

    瀏覽量

    47010
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109713
  • VCS
    VCS
    +關(guān)注

    關(guān)注

    0

    文章

    78

    瀏覽量

    9537
  • 編譯器
    +關(guān)注

    關(guān)注

    1

    文章

    1602

    瀏覽量

    48895

原文標(biāo)題:VCS編譯選項(xiàng):-y 及+libext+

文章出處:【微信號(hào):處芯積律,微信公眾號(hào):處芯積律】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    vcs實(shí)用技巧

    VCS編譯型verilog仿真器,VCS先將verilog/systemverilog文件轉(zhuǎn)化為C文件,在linux下編譯生成的可執(zhí)行文./simv即可得到仿真結(jié)果。
    的頭像 發(fā)表于 10-25 17:22 ?832次閱讀
    <b class='flag-5'>vcs</b>實(shí)用技巧

    VCS仿真指南(第二版).pdf

    VCS-verilog compiled simulator是synopsys公司的產(chǎn)品.其仿真速度相當(dāng)快,而且支持多種調(diào)用方式;使用的步驟和modelsim類似,都要先做編譯,再調(diào)用仿真.Vcs
    發(fā)表于 12-15 10:27

    基于linux系統(tǒng)的VCS使用及仿真說(shuō)明

    文件。主要命令如下vcs verilog文件 [-y 搜索路徑 +libext+.v -debug_all –ncli]其中 []中的選項(xiàng)是可選的。-
    發(fā)表于 07-18 16:18

    什么是VCS

    VCS編譯型Verilog模擬器,它完全支持OVI標(biāo)準(zhǔn)的Verilog HDL語(yǔ)言、PLI和SDF。VCS具有目前行業(yè)中最高的模擬性能,其出色的內(nèi)存管理能力足以支持千萬(wàn)門級(jí)的ASIC設(shè)計(jì),而其模擬精度也完全
    發(fā)表于 07-28 16:28 ?1.4w次閱讀

    編譯器_keil的優(yōu)化選項(xiàng)問(wèn)題

    keil編譯器的優(yōu)化選項(xiàng)針對(duì)ARM,對(duì)STM32編譯的一些優(yōu)化的問(wèn)題
    發(fā)表于 02-25 14:18 ?3次下載

    基于linux系統(tǒng)實(shí)現(xiàn)的vivado調(diào)用VCS仿真教程

    VCS-MX的版本,可以混合編譯Verilog和VHDL語(yǔ)言 由于在linux系統(tǒng)中個(gè)人用戶各種權(quán)限被限制,導(dǎo)致很多地方無(wú)法正常使用軟件之間的協(xié)調(diào)工作。 為了以防萬(wàn)一,在此以個(gè)人用戶去實(shí)現(xiàn)vivado調(diào)用VCS仿真。
    的頭像 發(fā)表于 07-05 03:30 ?1.1w次閱讀
    基于linux系統(tǒng)實(shí)現(xiàn)的vivado調(diào)用<b class='flag-5'>VCS</b>仿真教程

    判斷Linux庫(kù)文件編譯時(shí)是否運(yùn)用-g選項(xiàng)的方法

    判斷Linux庫(kù)文件編譯時(shí)是否運(yùn)用-g選項(xiàng)的方法
    的頭像 發(fā)表于 06-22 08:40 ?3301次閱讀
    判斷Linux庫(kù)文件<b class='flag-5'>編譯</b>時(shí)是否運(yùn)用-g<b class='flag-5'>選項(xiàng)</b>的方法

    VCS獨(dú)立仿真Vivado IP核的一些方法總結(jié)

    的命令行加上‘-y選項(xiàng),并給出庫(kù)文件路徑。這些庫(kù)文件在$VIVADO_INSTALLER_PATHdataverilogsrc,其中$VIVADO_INSTALLER_PA
    的頭像 發(fā)表于 03-22 10:31 ?3923次閱讀

    vcs學(xué)習(xí)筆記(常用選項(xiàng)/仿真流程/代碼覆蓋率/綜合后仿真/圖一樂(lè)技巧)

    VCS編譯型verilog仿真器,VCS先將verilog/systemverilog文件轉(zhuǎn)化為C文件,在linux下編譯生成的可執(zhí)行文./simv即可得到仿真結(jié)果。
    的頭像 發(fā)表于 05-23 16:04 ?8929次閱讀

    淺談VCS的兩種仿真flow

    幾乎所有的芯片設(shè)計(jì)、芯片驗(yàn)證工程師,每天都在和VCS打交道,但是由于驗(yàn)證環(huán)境的統(tǒng)一化管理,一般將不同的編譯仿真選項(xiàng)集成在一個(gè)文件里,只需要一兩個(gè)人維護(hù)即可。所以大部分人比較少有機(jī)會(huì)去深入地學(xué)習(xí)
    的頭像 發(fā)表于 01-10 11:20 ?3255次閱讀

    EDA仿真:VCS編譯Xilinx仿真步驟

    選擇VCS,再指定庫(kù)文件存放的路徑;如果VCS的環(huán)境變量設(shè)置好了,那么會(huì)自動(dòng)跳出Simulator executable path的路徑的。
    發(fā)表于 03-31 10:21 ?1761次閱讀

    深入淺出編譯優(yōu)化選項(xiàng)(下)

    在《深入淺出編譯優(yōu)化選項(xiàng)(上)》中,我們介紹了如何在IAR Embedded Workbench編譯器中進(jìn)行編譯優(yōu)化等級(jí)配置、多文件編譯配置
    的頭像 發(fā)表于 04-21 10:19 ?1101次閱讀

    VCS實(shí)用技巧分享

    VCS編譯型verilog仿真器,VCS先將verilog/systemverilog文件轉(zhuǎn)化為C文件,在linux下編譯生成的可執(zhí)行文./simv即可得到仿真結(jié)果。
    的頭像 發(fā)表于 05-30 09:26 ?1154次閱讀
    <b class='flag-5'>VCS</b>實(shí)用技巧分享

    Linux交叉編譯選項(xiàng)與源碼與目標(biāo)文件分離

    交叉編譯選項(xiàng) 編譯Linux,通常只需要運(yùn)行 make menuconfig 配置要編譯的模塊,然后運(yùn)行 make 。Linux默認(rèn)是做本地編譯
    的頭像 發(fā)表于 09-27 11:48 ?654次閱讀

    VCS 仿真option 解析

    VCS的仿真選項(xiàng)編譯(compile-time)選項(xiàng)和運(yùn)行(run-time)選項(xiàng)。編譯
    的頭像 發(fā)表于 01-06 10:19 ?1873次閱讀