0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

基于FPGA實現(xiàn)通用異步收發(fā)器基本功能的應用設計

FPGA設計論壇 ? 來源:未知 ? 2023-05-29 15:05 ? 次閱讀

點擊上方

藍字

關注我們



通用異步收發(fā)器(Universal Asynchronous Receiver/Transmitter,UART)可以和各種標準串行接口,如RS232RS485等進行全雙工異步通信,具有傳輸距離遠、成本低、可靠性高等優(yōu)點。一般UART由專用芯片來實現(xiàn),但專用芯片引腳都較多,內(nèi)含許多輔助功能,在實際使用時往往只需要用到UART的基本功能,使用專用芯片會造成資源浪費和成本提高。當我們不需要用到完整的的UART功能和一些輔助功能時,就可以將需要的UART功能集成用FPGA來實現(xiàn),然而,F(xiàn)PGA內(nèi)部并不擁有CPU控制單元,無法處理由UART控制器產(chǎn)生的中斷,所以FPGA不能利用現(xiàn)成的UART控制器構成異步串行接口,必須將UART控制器的功能集成到FPGA內(nèi)部。從而可以大大的減少了體積、簡化了電路,也提高了系統(tǒng)的靈活性。

1、 UART的工作原理

UART是一種串行數(shù)據(jù)總線,用于異步通信,并且雙向通信,可實現(xiàn)全雙工發(fā)送和接收。基本的UART只需要兩條信號線(TXD、RXD)和一條地線就可以完成數(shù)據(jù)的互相通信,接收和發(fā)送互不干擾,這樣就大大節(jié)省了傳輸費用。由于UART是異步通信,所以需要對數(shù)據(jù)進行同步。UA RT發(fā)送/接收數(shù)據(jù)的傳輸格式如圖1所示,一個字符單位由開始位、數(shù)據(jù)位、校驗位、停止位組成(其中校驗位可供選)。

發(fā)送或接收一個完整的字節(jié)信息,首先是一個作為起始位的邏輯“0”位,接著是8個數(shù)據(jù)位。然后是停止位邏輯“1”位,數(shù)據(jù)線空閑時為高或“1”狀態(tài)。在字符的8位數(shù)據(jù)部分,先發(fā)送數(shù)據(jù)的位,發(fā)送位。每位持續(xù)時間是固定的,由發(fā)送器本地時鐘控制,每秒發(fā)送的數(shù)據(jù)位個數(shù),即為“波特率”。起始位和停止位起著很重要的作用。顯然,它們標志每個字符的開始和結束,但更重要的是他們使接收器能把他的局部時鐘與每個新開始接收的字符再同步。異步通信沒有可參照的時鐘信號,發(fā)送器隨時都可能發(fā)送數(shù)據(jù),任何時刻串行數(shù)據(jù)到來時,接收器必須準確地發(fā)現(xiàn)起始位下降沿的出現(xiàn)時間,從而正確地采樣緊接著的10或者11位(包括開始位、數(shù)據(jù)位和停止位),接收器的時鐘和發(fā)送器的時鐘不是同一個,因此,接收器所確定的采樣點的間隔和發(fā)送器所確定的位間隔時間不同,這點要特別注意。

2、 UART功能設計

異步通信的一幀傳輸經(jīng)歷以下步驟:1)空閑狀態(tài)。發(fā)送方連續(xù)發(fā)送信號,處于信息“1”狀態(tài)。2)開始傳輸。發(fā)送方在任何時刻將傳號變成空號,即“1”跳變到“0”,并持續(xù)1位時間表明發(fā)送方開始傳輸數(shù)據(jù)。而同時,接收方收到空號后,開始與發(fā)送方同步,并期望收到隨后的數(shù)據(jù)。3)奇偶傳輸。數(shù)據(jù)傳輸之后是可供選擇的奇偶位發(fā)送或接收。4)停止傳輸。是發(fā)送或接收的停止位,其狀態(tài)恒為“1”。

設計的基本原則是保留主要的功能,基于FPGA的UART系統(tǒng)由波特率時鐘發(fā)生器、接收器和發(fā)送器3個子模塊組成,如圖2所示。

2.1 波特率發(fā)生器設計

波特率發(fā)生器實質是設計一個分頻器,用于產(chǎn)生和RS232通信同步的時鐘。在系統(tǒng)中用一個計數(shù)器來完成這個功能,分頻系數(shù)N決定了波特率的數(shù)值。該計數(shù)器一般工作在一個頻率較高的系統(tǒng)時鐘下,當計數(shù)到N/2時將輸出置為高電平,再計數(shù)到N/2的數(shù)值后將輸出置為低電平,如此反復即可得到占空比50%的波特率時鐘,具體的波特率依賴于所使用的系統(tǒng)時鐘頻率和Ⅳ的大小。如系統(tǒng)時鐘頻率是6.4 MHz,要求波特率是9 600,則16倍波特率時鐘的周期約等于42個系統(tǒng)時鐘周期,則計數(shù)器取42/2=21時,當計數(shù)溢出時輸出電平取反就可以得到16倍約定波特率的時鐘。

使用VHDL來描述波特率發(fā)生器的完整代碼如下:

2.2 發(fā)送器設計

UART發(fā)送器的設計較容易,只要每隔一個發(fā)送周期按照數(shù)據(jù)幀格式及要求的速率輸出數(shù)據(jù)即可。沒有數(shù)據(jù)要發(fā)送時,發(fā)送數(shù)據(jù)寄存器為空,發(fā)送器處于空閑狀態(tài);當檢測到發(fā)送數(shù)據(jù)寄存器滿信號后,發(fā)送器及發(fā)送起始位,同時8個數(shù)據(jù)位被并行裝入發(fā)送移位寄存器,停止位緊接著數(shù)據(jù)位指示一幀數(shù)據(jù)結束。只有發(fā)送數(shù)據(jù)寄存器為空時,RAM中的待發(fā)數(shù)據(jù)才能被裝入。程序中使用計數(shù)器要保證各位周期定時正確。

2.3 接收器設計

接收器的工作過程如下,串行數(shù)據(jù)幀和接收時鐘是異步的,發(fā)送來的數(shù)據(jù)由邏輯1變?yōu)檫壿?可以視為一個數(shù)據(jù)幀的開始。接收器先要捕捉起始位,然而,通信線上的噪音也極有可能使傳號“1”跳變到空號“0”。所以接收器以16倍的波特率對這種跳變進行檢測,確定rxd輸入由1到0,邏輯0要8個bclkr(16倍的波特率時鐘)周期,才是正常的起始位,而不是噪音引起的,其中若有采樣得到的為高電平則認為起始信號無效,返回初始狀態(tài)重新等待起始信號的到來。

采到正確的起始位后,就開始接收數(shù)據(jù),可靠的接收應該是接收時鐘的出現(xiàn)時刻正好對著數(shù)據(jù)位的中央。由于在起始位檢測時,已使時鐘對準了位中央,用16倍波特率的時鐘作為接收時鐘,就是為了確保在位寬的中心時間對接收的位序列進行可靠采樣,當采樣計數(shù)器計數(shù)結束后所有數(shù)據(jù)位都已經(jīng)輸入完成。對停止位的高電平進行檢測,若正確檢測到高電平,說明本幀的各位正確接收完畢,否則出錯。

后將正確的數(shù)據(jù)轉存到數(shù)據(jù)寄存器中,輸出數(shù)據(jù)。還要輸出一個數(shù)據(jù)接收標志信號標志數(shù)據(jù)接收完。

利用有限狀態(tài)機實現(xiàn),完整代碼如下:

3、 仿真

本設計在Altera Cyclone系列的EP1C3T100I7芯片上進行了驗證,對發(fā)送模塊和接收模塊的仿真結果分別如圖3、圖4所示。發(fā)送的數(shù)據(jù)能嚴格按照串行通信協(xié)議進行傳輸;接收的數(shù)據(jù)也完全正確。仿真無誤后,使用QuarhusⅡ軟件將編譯好的.pof格式文件載到配置芯片EPCS1中。結果通信數(shù)據(jù)完全正確,電路工作穩(wěn)定、可靠。

用FPGA設計UART,可以用片上很少的邏輯單元實現(xiàn)UART的基本功能。與傳統(tǒng)設計相比,能有效減少系統(tǒng)的PCB面積,降低系統(tǒng)的功耗,提高設計的穩(wěn)定性和可靠性,充分利用FPGA的剩余資源。并可方便地進行系統(tǒng)升級和移植。

4 、結論

該設計具有很大的靈活性,通過調(diào)整波特率發(fā)生器的分頻參數(shù),就可以使其工作在不同的頻率。采用16倍波特率的采樣時鐘,可以實時有效監(jiān)測數(shù)據(jù)的起始位,并對數(shù)據(jù)位進行中央采樣,從而保證了所采樣數(shù)據(jù)的正確性。該模塊可以作為一個完整的IP核,靈活地移植進各種型號FPGA中,在實際應用時也可嵌入到其他系統(tǒng)中,有很好的借鑒和參考價值。



掃描二維碼獲取

更多精彩

FPGA設計論壇






精彩推薦




至芯科技-FPGA就業(yè)培訓來襲!你的選擇開啟你的高薪之路!5月30號西安中心開課、歡迎咨詢!
簡談FPGA verilog中的repeat和while用法與例子
簡談CPU、MCU、FPGA、SoC這些芯片異同之處
FPGA復位設計的常見問題






歡迎關注至芯科技

至芯官網(wǎng):www.zxopen.com

至芯科技技術論壇:www.fpgaw.com

至芯科技淘寶網(wǎng)址:

https://zxopen.taobao.com

至芯科技FPGA初級課程(B站):

https://space.bilibili.com/521850676

至芯科技FPGA在線課程(騰訊課堂):

https://zxopenbj.ke.qq.com/

至芯科技-FPGA 交流群(QQ):282124839



掃碼加入FPGA學習交流群




歡迎加入至芯科技FPGA微信學習交流群,這里有一群優(yōu)秀的FPGA工程師、學生、老師、這里FPGA技術交流學習氛圍濃厚、相互分享、相互幫助、叫上小伙伴一起加入吧!


點個

在看

你最好看






原文標題:基于FPGA實現(xiàn)通用異步收發(fā)器基本功能的應用設計

文章出處:【微信公眾號:FPGA設計論壇】歡迎添加關注!文章轉載請注明出處。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1621

    文章

    21511

    瀏覽量

    599070

原文標題:基于FPGA實現(xiàn)通用異步收發(fā)器基本功能的應用設計

文章出處:【微信號:gh_9d70b445f494,微信公眾號:FPGA設計論壇】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    光纖收發(fā)器的作用、使用方法及應用

    具有以下功能: 1.1 信號轉換:光纖收發(fā)器可以將電信號轉換為光信號,也可以將光信號轉換為電信號,實現(xiàn)信號的傳輸和接收。 1.2 信號放大:光纖收發(fā)器具有信號放大
    的頭像 發(fā)表于 08-23 09:51 ?747次閱讀

    FPGA高速收發(fā)器的特點和應用

    FPGA(Field Programmable Gate Array,現(xiàn)場可編程門陣列)高速收發(fā)器是現(xiàn)代數(shù)字通信系統(tǒng)中不可或缺的關鍵組件。它們以其高速、靈活和可編程的特性,在多個領域發(fā)揮著重要作用。以下是對FPGA高速
    的頭像 發(fā)表于 08-05 15:02 ?244次閱讀

    FPGA高速收發(fā)器的來源

    本文主要講解的是FPGA高速收發(fā)器的來源,著重從三個方面解析,可能部分理解會存在有錯誤,想要不一致的可以來評論區(qū)交流哦。
    的頭像 發(fā)表于 07-18 11:13 ?334次閱讀
    <b class='flag-5'>FPGA</b>高速<b class='flag-5'>收發(fā)器</b>的來源

    TUSB2551A高級通用串行總線收發(fā)器數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《TUSB2551A高級通用串行總線收發(fā)器數(shù)據(jù)表.pdf》資料免費下載
    發(fā)表于 07-12 10:16 ?0次下載
    TUSB2551A高級<b class='flag-5'>通用</b>串行總線<b class='flag-5'>收發(fā)器</b>數(shù)據(jù)表

    TSU6111A USB端口單刀雙擲(SP2T)開關支持USB和通用異步收發(fā)器(UART)數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《TSU6111A USB端口單刀雙擲(SP2T)開關支持USB和通用異步收發(fā)器(UART)數(shù)據(jù)表.pdf》資料免費下載
    發(fā)表于 07-10 09:21 ?0次下載
    TSU6111A USB端口單刀雙擲(SP2T)開關支持USB和<b class='flag-5'>通用</b><b class='flag-5'>異步</b>接<b class='flag-5'>收發(fā)器</b>(UART)數(shù)據(jù)表

    SN74ALVCH16901 18位通用總線收發(fā)器數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《SN74ALVCH16901 18位通用總線收發(fā)器數(shù)據(jù)表.pdf》資料免費下載
    發(fā)表于 06-03 11:21 ?0次下載
    SN74ALVCH16901 18位<b class='flag-5'>通用</b>總線<b class='flag-5'>收發(fā)器</b>數(shù)據(jù)表

    具有3態(tài)輸出的18位通用總線收發(fā)器數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《具有3態(tài)輸出的18位通用總線收發(fā)器數(shù)據(jù)表.pdf》資料免費下載
    發(fā)表于 05-30 09:46 ?0次下載
    具有3態(tài)輸出的18位<b class='flag-5'>通用</b>總線<b class='flag-5'>收發(fā)器</b>數(shù)據(jù)表

    收發(fā)器的主要作用與種類詳解

    收發(fā)器,作為通信系統(tǒng)中的關鍵組成部分,其主要作用是實現(xiàn)信號的發(fā)送和接收。隨著通信技術的不斷發(fā)展,收發(fā)器的種類也日益豐富,滿足了不同場景下的通信需求。本文將對收發(fā)器的主要作用和種類進行詳
    的頭像 發(fā)表于 05-22 17:05 ?1398次閱讀

    帶18位通用總線收發(fā)器的掃描測試設備數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《帶18位通用總線收發(fā)器的掃描測試設備數(shù)據(jù)表.pdf》資料免費下載
    發(fā)表于 05-15 09:12 ?0次下載
    帶18位<b class='flag-5'>通用</b>總線<b class='flag-5'>收發(fā)器</b>的掃描測試設備數(shù)據(jù)表

    工業(yè)級光纖收發(fā)器和商業(yè)級光纖收發(fā)器有什么區(qū)別呢?

    工業(yè)級光纖收發(fā)器和商業(yè)級光纖收發(fā)器有什么區(qū)別呢?在什么情況下該選擇工業(yè)級光纖收發(fā)器呢? 工業(yè)級光纖收發(fā)器和商業(yè)級光纖收發(fā)器是兩種不同級別的產(chǎn)
    的頭像 發(fā)表于 12-27 15:02 ?840次閱讀

    光纖收發(fā)器ab端如何區(qū)分 光纖收發(fā)器a與b可隨便放嗎

    光纖收發(fā)器的ab端應該是發(fā)射端(a端)和接收端(b端。關于收發(fā)器分發(fā)射端與接收端的原因在于,收發(fā)器在使用時需把信號進行雙向傳輸,通常是成對使用,根據(jù)連接光纖的芯數(shù)可分為單纖收發(fā)器與雙纖
    發(fā)表于 12-07 14:42 ?1.7w次閱讀

    光纖收發(fā)器怎么分ab端 光纖收發(fā)器ab端的區(qū)別 光纖收發(fā)器ab端放哪里

    。在使用光纖收發(fā)器時,需要對其進行接線,將發(fā)送端(A端)和接收端(B端)連接在一起。本文將詳細介紹光纖收發(fā)器A、B端的區(qū)別、放置位置和講究,幫助讀者更好地使用和了解光纖收發(fā)器。 一、光纖收發(fā)器
    的頭像 發(fā)表于 11-27 17:08 ?1.5w次閱讀

    【有那么點詳細的CW32學習筆記】通用異步收發(fā)器—發(fā)送篇

    本章介紹CW32通用異步收發(fā)器的使用。 雖然大部分時候會被簡單稱為“串口”,但實際上 用“串口”來指代UART并不正確 。串口對應并口的概念,在串口通信中,數(shù)據(jù)被以二進制形式發(fā)送,并且每次發(fā)送都只
    的頭像 發(fā)表于 11-17 17:30 ?607次閱讀
    【有那么點詳細的CW32學習筆記】<b class='flag-5'>通用</b><b class='flag-5'>異步</b><b class='flag-5'>收發(fā)器</b>—發(fā)送篇

    UART通用異步收發(fā)器原理是什么

    UART通用異步收發(fā)器(串口通信) 一般來說,開發(fā)過程中我們通常使用串口進行DEBUG調(diào)試。 原理 通用異步
    的頭像 發(fā)表于 11-09 17:32 ?625次閱讀
    UART<b class='flag-5'>通用</b><b class='flag-5'>異步</b><b class='flag-5'>收發(fā)器</b>原理是什么

    8位PIC單片機上的通用異步收發(fā)器(UART)

    電子發(fā)燒友網(wǎng)站提供《8位PIC單片機上的通用異步收發(fā)器(UART).pdf》資料免費下載
    發(fā)表于 09-26 09:47 ?0次下載
    8位PIC單片機上的<b class='flag-5'>通用</b><b class='flag-5'>異步</b><b class='flag-5'>收發(fā)器</b>(UART)