0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

在questasim里如何設(shè)置和查看種子值呢?

冬至子 ? 來(lái)源:CSDN ? 作者:谷公子 ? 2023-05-29 17:50 ? 次閱讀

在systemverilog代碼運(yùn)行中,EDA工具會(huì)先給1個(gè)隨機(jī)種子值(seed),所有代碼里的隨機(jī)數(shù)都是根據(jù)這個(gè)初始種子衍生出來(lái)的。因此,通常來(lái)說(shuō),只要代碼沒(méi)有改動(dòng),且初始種子一樣,那么仿真運(yùn)行結(jié)果也一樣。那么在questasim里如何設(shè)置和查看種子值呢?

1. 設(shè)置種子值

Questasim給vsim命令提供了-sv_seed | random的參數(shù)。有幾個(gè)關(guān)鍵點(diǎn):

如果vsim后面沒(méi)有跟著sv_seed,那么默認(rèn)seed是0;

如果vsim后面跟著sv_seed,但沒(méi)有提供 或 random,那么會(huì)報(bào)錯(cuò);

如果sv_seed后面跟著非負(fù)32-bit整數(shù)(interger),那么這個(gè)非負(fù)整數(shù)就是初始seed值。如果是無(wú)效值的話,那么questasim會(huì)報(bào)warning信息并且忽略掉;

如果sv_seed后面這個(gè)random單詞的話,那么vsim命令每次運(yùn)行都會(huì)使用隨機(jī)生成的seed;

sv_seed在modelsim.ini文件里是只讀的,不能改寫(xiě);

2. 讀取種子值

Questasim里提供了$get_initial_random_seed系統(tǒng)函數(shù),User可以在systemverilog code里調(diào)用這個(gè)系統(tǒng)函數(shù)就可以得到當(dāng)前仿真使用的初始seed值。

另外一種方式是在Tcl shell窗口中,通過(guò)echo $Sv_Seed把seed值展示在shell窗口。

3. 使用例子

3.1 設(shè)置初始seed值為666的整數(shù):

vsim -sv_seed 666

3.2 設(shè)置初始seed值為隨機(jī)數(shù):

vsim -sv_seed random

4. 測(cè)試代碼

測(cè)試代碼如下:

vsim -sv_seed random
$display("1. The seed is: %0d, random data:%0d", $get_initial_random_seed, $urandom);
vsim -sv_seed 666
$display("2. The seed is: %0d, random data:%0d", $get_initial_random_seed, $urandom);
vsim
$display("3. The seed is: %0d, random data:%0d", $get_initial_random_seed, $urandom);
vsim -sv_seed
$display("4. The seed is: %0d, random data:%0d", $get_initial_random_seed, $urandom);

結(jié)果如下:

1. The seed is: 281111952, random data:3445949350
2. The seed is: 666, random data:3072267859
3. The seed is: 0, random data:313949478
Error. Use the -help option for complete vsim usage.
4. Error. Use the -help option for complete vsim usage.
聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • EDA工具
    +關(guān)注

    關(guān)注

    4

    文章

    264

    瀏覽量

    31536
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109713
  • TCL
    TCL
    +關(guān)注

    關(guān)注

    10

    文章

    1699

    瀏覽量

    88270
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    Questasim軟件安裝破解教程

    patch_dll.bat,此時(shí)會(huì)生成一個(gè)txt文件。將txt文件另存,另存的路徑為:C:\modeltech_10.1a\LICENSE.TXT。 7. 新建系統(tǒng)環(huán)境變量點(diǎn)擊電腦的開(kāi)始菜單,搜索框搜索“環(huán)境變量
    發(fā)表于 05-22 17:16

    怎么uvision查看數(shù)據(jù)寄存器的?

    我現(xiàn)在做AD轉(zhuǎn)換,想在uvision中直接查看數(shù)據(jù)寄存器ADC_JDRx中的轉(zhuǎn)換,怎么看,或許看某變量的,怎么操作,謝謝
    發(fā)表于 09-02 02:20

    如何查看mdk環(huán)境調(diào)試的

    我發(fā)現(xiàn)有些宏定義的,MDK調(diào)試的時(shí)候不能查看,如下圖,比如原子哥的代碼 LED0LED1,請(qǐng)問(wèn)如何才能
    發(fā)表于 09-05 03:55

    293無(wú)法更新模擬器questasim設(shè)置文件怎么辦?

    喜我通過(guò)Xilinx Platform 14.1生成了EDK的questasim libof。它有一些問(wèn)題。但這些lib secureip,unisim都可以。我UNIX工作。錯(cuò)誤信息:排除已取代
    發(fā)表于 05-11 08:10

    用R命令查看的寄存器的是當(dāng)前CPU中AX這些寄存器真實(shí)的嗎?

    在學(xué)習(xí)8086匯編時(shí),我虛擬機(jī)上安裝了MS-DOS(假設(shè)就是真實(shí)的8086計(jì)算機(jī)的DOS,就是想知道真實(shí)的那種DOS的情況):1.
    發(fā)表于 08-28 08:06

    keil軟件的debug環(huán)境下查看變量的,為什么有時(shí)添加不進(jìn)去?

    keil軟件的debug環(huán)境下查看變量的,有時(shí)候可以添加到watch中,有時(shí)候就添加不進(jìn)去,為什么???還有我想清除watch的數(shù)據(jù),重新添加怎么操作
    發(fā)表于 08-25 07:23

    questasim 6.5 (for xp/linux)

    questasim 6.5 (for xp/linux)
    發(fā)表于 04-01 00:17 ?192次下載
    <b class='flag-5'>questasim</b> 6.5 (for xp/linux)

    ModelSim和QuestaSim功能簡(jiǎn)介及應(yīng)用

    ModelSim和QuestaSim功能簡(jiǎn)介及應(yīng)用 ModelSim是工業(yè)界最優(yōu)秀的語(yǔ)言仿真器,它提供最友好的調(diào)試環(huán)境
    發(fā)表于 04-29 09:07 ?2w次閱讀
    ModelSim和<b class='flag-5'>QuestaSim</b>功能簡(jiǎn)介及應(yīng)用

    如何使用WEBENCH查看工作和圖表評(píng)估電源設(shè)計(jì)?

    使用WEBENCH?Power Designer查看工作和圖表
    的頭像 發(fā)表于 08-15 01:33 ?2568次閱讀

    通過(guò)種子發(fā)芽箱來(lái)實(shí)時(shí)掌控種子發(fā)芽的環(huán)境

    種子發(fā)芽箱是由托普云農(nóng)研發(fā)供應(yīng)的,該儀器可提供種子生長(zhǎng)的適宜環(huán)境,種子發(fā)芽需要合適的環(huán)境參數(shù),如溫度、濕度、光照度、空氣質(zhì)量等。種子發(fā)芽需要每個(gè)參數(shù)的參與,任何一個(gè)參數(shù)如果超出了臨界
    發(fā)表于 09-21 14:43 ?573次閱讀

    種子發(fā)芽箱的產(chǎn)品特點(diǎn),它的使用效果如何

    種子發(fā)芽過(guò)程中國(guó),我們都知道需要適宜的水分、溫度、光照等等,那么如何滿足不同種子所需要的不同條件?我們可以使用種子發(fā)芽箱來(lái)研究不同環(huán)境對(duì)
    發(fā)表于 10-16 15:20 ?522次閱讀

    種子發(fā)芽箱主機(jī)的作用,讓種子發(fā)芽率得到極大的提高

    種子發(fā)芽過(guò)程中,我們都知道需要適宜的水分、溫度、光照等等,那么如何滿足不同種子所需的不同條件?我們可以使用種子發(fā)芽箱來(lái)研究不同環(huán)境對(duì)
    發(fā)表于 10-26 14:49 ?566次閱讀

    Proteus設(shè)置任意電源的POWER的實(shí)現(xiàn)

    Proteus不像multisim那樣屬性設(shè)置就能設(shè)置電源。Proteus要自己建立或者修改符合自己要求的電源
    發(fā)表于 01-11 14:45 ?26次下載
    Proteus<b class='flag-5'>里</b><b class='flag-5'>設(shè)置</b>任意電源<b class='flag-5'>值</b>的POWER的實(shí)現(xiàn)

    Modelsim/Questasim基本命令

    Modelsim/Questasim基本命令
    發(fā)表于 10-21 15:06 ?1次下載

    Questasim與Visualizer的livesim仿真如何啟動(dòng)?

    Live-Simulation (live-sim)模式允許Visualizer調(diào)試環(huán)境與Questasim進(jìn)行交互操作,此模式將Visualizer GUI與Questasim仿真相結(jié)合,因此可以在線調(diào)試當(dāng)前仿真的結(jié)果和波形。
    的頭像 發(fā)表于 10-10 11:33 ?484次閱讀
    <b class='flag-5'>Questasim</b>與Visualizer的livesim仿真如何啟動(dòng)<b class='flag-5'>呢</b>?