0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

后摩爾定律時代局勢大變 臺積電公布2nm后的發(fā)展路徑圖

半導體產(chǎn)業(yè)縱橫 ? 來源:半導體產(chǎn)業(yè)縱橫 ? 2023-05-30 12:47 ? 次閱讀

今年臺積電技術(shù)論壇上,揭露了2nm后的發(fā)展路徑圖,特殊制程和先進封裝將扮演更重要角色。

今年下半年,臺積電將開始用3nm制程為蘋果制造芯片,接下來2nm制程也將在2025年推出。但隨著半導體線寬微縮越來越逼進物理極限,臺積電還能繼續(xù)維持高速成長,甩開對手嗎?

后摩爾定律時代局勢大變

對臺積電的長期投資者來說,另一個挑戰(zhàn)是,數(shù)十年來推動半導體產(chǎn)業(yè)前進的摩爾定律,效用似乎逐漸降低。過去,半導體產(chǎn)業(yè)每隔一段時間,生產(chǎn)同樣數(shù)量電晶體的成本就會下降一半,但近幾年半導體設(shè)備越來越貴,每片晶圓的售價不斷升高。

5月11日,臺積電在技術(shù)論壇上,對許多未來發(fā)展的關(guān)鍵問題給出了答案。在后摩爾定律時代,臺積電會持續(xù)高速成長,但從材料、技術(shù)到市場,都將出現(xiàn)重大改變。

臺積電總裁魏哲家在開幕演講里明示改變將至。他說,雖然臺積電努力降低成本,但俄烏沖突讓臺積電花比原本高6~7倍價格,才能買到生產(chǎn)晶圓用的氖氣;他半開玩笑地說:“你們老板的腦海里馬上會想,這小子是不是想漲價!”

魏哲家也提到,線寬微縮技術(shù)的空間已經(jīng)越來越少,1臺用來生產(chǎn)高階芯片的EUV(極紫外光曝光機),“價格可以蓋兩百棟房子”,這是他十幾年前想不到的。臺積電用600美元把芯片賣給客戶,做成服務(wù)器后,卻得花20萬美元買,價值增加了330倍。

4240428c-fe20-11ed-90ce-dac502259ad0.png

會中,臺積電打出一張投影片。根據(jù)臺積電的估算,2030年時,全球半導體產(chǎn)值將達1兆美元;這個數(shù)字相當驚人,因為根據(jù)美國半導體產(chǎn)業(yè)協(xié)會估計,2022年時,全球半導體產(chǎn)業(yè)規(guī)模為5741億美元,意指未來7年,半導體產(chǎn)業(yè)還會再成長超過7成。

臺積電預期,未來需求最大的是高性能運算,其次是行動通訊,不過這兩種應(yīng)用的占比和現(xiàn)在差不多,分別為4成和3成;但車用芯片的占比則將翻揚1倍,占市場需求的15%,物聯(lián)網(wǎng)也將占有整個市場10%的需求。

在這次大會中,臺積電也揭露了2nm之后的發(fā)展路徑。臺積電的專家介紹一種稱為CFET的新型電晶體結(jié)構(gòu),改用這種新設(shè)計,臺積電可以在同樣的面積生產(chǎn)出兩層電晶體結(jié)構(gòu),運算效能馬上多出1倍。同時,1nm以下,硅的限制越來越大,就要改用納米碳管、二維半導體材料來制造芯片,雖然還要一段時間,但半導體材料發(fā)展將出現(xiàn)新的轉(zhuǎn)折。

426cfde0-fe20-11ed-90ce-dac502259ad0.png

魏哲家強調(diào),半導體要繼續(xù)進步,除了架構(gòu)要改變,更需要產(chǎn)業(yè)上下游的合作。這次會議中,臺積電展示了新版的3D封裝技術(shù),原本臺積電的先進封裝技術(shù)可分成SOIC、CoWoS和InFO 3種,現(xiàn)在這3種技術(shù)都分別演化出高性能版和平價版,而且每1種臺積電都能獨立完成制造、封裝、測試。

臺積電副總張曉強表示,這是技術(shù)發(fā)展的需要,因為發(fā)展AI芯片,除了要求提升能源效率、降低耗電率,同時還需要芯片和存儲器的高速整合。像ChatGPT等AI應(yīng)用,都需要計算巨量參數(shù)的變化,邏輯芯片透過先進封裝整合存儲器,需求相當強勁。

節(jié)省能源先進制程關(guān)鍵點

至于摩爾定律未來是否能持續(xù)?張曉強表示:“以前大家理解摩爾定律的時候,是在單一的芯片上看摩爾定律,將來摩爾定律是要在系統(tǒng)階層上面,你如果把先進封裝算進來,摩爾定律的經(jīng)濟效率還是存在的。例如在資料中心,你把今年運算用的芯片,從5nm搬到3nm,光省下的電費就足夠支付你所有芯片的更新。”換句話說,能節(jié)省多少能源,是驅(qū)動先進制程發(fā)展的關(guān)鍵。

今年5月,三星電子會長李在镕和特斯拉執(zhí)行長馬斯克單獨會面,外界解讀是為爭奪車用自駕芯片商機。臺積電也在這次大會上,公布兩種車用芯片的新制程N4AE和N3AE;臺積電表示,過去車用芯片鮮少采用先進制程,由于車用芯片驗證時間長,現(xiàn)在車廠已能用4nm和3nm技術(shù)來設(shè)計車用芯片,等到明后年車用4nm和3nm制程上線,馬上就能生產(chǎn)先進車用芯片,明顯要阻斷三星靠車用芯片超車的計劃。

介入存儲器領(lǐng)域踢館三星

與此同時,臺積電也大力投資特殊制程,在日本等地建立12到28nm成熟制程新廠。臺積電資深處長劉信生比喻,就像人有眼耳鼻舌身意等感知能力,制造這些感測芯片都要靠特殊制程,因此從2017年到2022年,臺積電特殊制程投資的年復合成長率超過4成,而現(xiàn)在到2026年,特殊制程產(chǎn)能還要再增加5成。

這些特殊制程的投資,很多是用來創(chuàng)造更先進的感知能力。劉信生解釋,像智能車、機器人需要更多的攝影鏡頭,這些鏡頭和拍照用的手機不同,要能看到人看不到的訊號,或是未來的鏡頭感測元件里,還可以加上AI邏輯運算,讓AI可以普及到終端裝置上,甚至臺積電也用28nm制程制造顯示器控制電路,這些用在AR裝置上的新型顯示器,解析度可達4000PPI,這足以把1臺4K顯示器放進你的眼鏡。

現(xiàn)在,臺積電也用22、28nm制程制造MRAM和RRAM存儲器,供智能車使用,當三星想用車用芯片攻進臺積電擅長的邏輯領(lǐng)域,臺積電也把影響力延伸至三星擅長的存儲器領(lǐng)域。今年開始,先進封裝和特殊制程都將扮演比過去更重要的角色,而從臺積電產(chǎn)能增加的速度看來,新一輪半導體產(chǎn)值的大成長正剛剛開始。

臺積電為2納米節(jié)點增加兩個變體,英特爾會趕上嗎?

根據(jù)臺積電在加利福尼亞州圣克拉拉舉行的 2023 年北美技術(shù)研討會上提供的詳細信息,臺積電的 2 納米芯片制造工藝(廣為人知的 N2)有望在 2025 年投產(chǎn)。中國臺灣的大型晶圓廠還將在 2026 年為其 N2 技術(shù)添加兩種變體:具有背面供電的 N2P 和用于高性能計算的 N2X。

N2 及其變體將成為臺積電第一個采用環(huán)柵 (GAA) 晶體管(臺積電稱之為納米片晶體管)的制造節(jié)點,以提高邏輯、SRAM 和模擬電路的性能、能效和晶體管密度.GAA 技術(shù)有助于降低漏電流,因為柵極存在于通道的所有四個側(cè)邊。此外,GAA 晶體管提高了調(diào)整通道寬度的能力,以實現(xiàn)更高的性能或更低的功耗。

42d19d04-fe20-11ed-90ce-dac502259ad0.png

兩個 N2 變體的發(fā)布是研討會最突出的亮點之一。來源:臺積電

在座談會上,臺積電聲稱其新型納米片晶體管已達到 80% 的目標性能規(guī)格,而 256-Mb SRAM 的平均良率目前超過 50%。而半導體代工廠還有兩年的時間來改善這些數(shù)字。

據(jù)臺積電稱,N2 將在與 N3 相同的功率下提供 10% 至 15% 的性能提升,或者在相同時鐘下將功率降低 25% 至 20%。該工廠還聲稱,對于包含邏輯、SRAM 和模擬的混合芯片,N2 的密度將比 N3E(N3 制造節(jié)點的增強版)高 15%。

42e99670-fe20-11ed-90ce-dac502259ad0.png

座談會主要提供了去年公布的N2工藝節(jié)點的細節(jié)。來源:臺積電

雖然有關(guān)臺積電 N2 技術(shù)的細節(jié)已經(jīng)流傳了一段時間,但隨著這項先進的制造技術(shù)延伸到 2026 年,有關(guān) N2 制造節(jié)點的兩個新版本的公告是最新的。開始研究 2 納米芯片制造的臺積電2020年的工藝,多年來一直不懈地追求這一尖端芯片制造技術(shù)。

以下是有關(guān) N2 制造節(jié)點變體(N2P 和 N2X)的一些詳細信息,它們預計將于 2026 年投入生產(chǎn),并且在這些節(jié)點上制造的芯片可能會在 2027 年到貨。

N2P制造節(jié)點

早些時候,當臺積電宣布采用納米片設(shè)計的 N2 生產(chǎn)計劃時,它發(fā)誓要在未來的版本中增加背面供電;該版本的 2 納米制造被命名為 N2P。就像英特爾和三星分別采用的PowerVia和BSPDN制造技術(shù)一樣,將晶體管夾在供電網(wǎng)絡(luò)信號網(wǎng)絡(luò)之間,以提高晶體管性能并降低功耗。

背面供電通過將電源軌移至背面來分離 I/O 和電源布線,解決了后端線路 (BEOL) 中過孔電阻升高等挑戰(zhàn)。因此,當芯片制造商一直在與芯片供電電路中的阻力作斗爭時,背面供電可提高晶體管性能、降低功耗并消除數(shù)據(jù)和電源連接之間的一些潛在干擾。

Applied Materials 估計背面供電有助于減少 20% 到 30% 的邏輯單元面積。盡管臺積電尚未提供有關(guān) N2P 技術(shù)的任何細節(jié),但發(fā)表在AnandTech上的一份報告稱,背面電源軌可以帶來兩位數(shù)的晶體管密度改進和個位數(shù)的效率提升。

N2X制造節(jié)點

臺積電還在準備 N2X,這是一種為高性能計算 (HPC) 設(shè)備量身定制的制造工藝,例如需要更高電壓和時鐘速度的高端 CPUGPU。N2X 將在 N2P 之后出現(xiàn),因此有關(guān) HPC 應(yīng)用程序的這種 N2 變體的信息更加稀缺。

英特爾會迎頭趕上嗎?

在這里值得一提的是,英特爾在其 20A 工藝上遵循類似的 2 納米制造工藝軌跡,該工藝還采用背面供電技術(shù)。英特爾計劃在2024年底將其2nm PowerVia制程節(jié)點推向量產(chǎn),如果這家位于加州圣克拉拉的芯片制造商能夠成功執(zhí)行這一計劃,它將在實施晶背供電傳輸?shù)母傎愔谐芭_積電近兩年。然而,考慮到英特爾在執(zhí)行先進制程節(jié)點方面的發(fā)展記錄,以及其于獲得ASML最新的EUV微影設(shè)備方面的挑戰(zhàn),能不能做到這一點仍有待觀察。盡管如此,除了三星,臺積電在納米競賽中也出現(xiàn)了第二家競爭對手。

編輯:黃飛

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 臺積電
    +關(guān)注

    關(guān)注

    43

    文章

    5535

    瀏覽量

    165700
  • 存儲器
    +關(guān)注

    關(guān)注

    38

    文章

    7366

    瀏覽量

    163091
  • 摩爾定律
    +關(guān)注

    關(guān)注

    4

    文章

    630

    瀏覽量

    78769
  • 封裝技術(shù)
    +關(guān)注

    關(guān)注

    12

    文章

    523

    瀏覽量

    67913

原文標題:臺積電2納米制程路線圖公布

文章出處:【微信號:ICViews,微信公眾號:半導體產(chǎn)業(yè)縱橫】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    2nm芯片助力 蘋果把大招留給了iPhone18

    有媒體爆料稱;蘋果公司的iPhone 17系列手機極大可能將無法搭載2nm前沿制程技術(shù)芯片,iPhone 17系列手機的處理器預計將沿用當前的3
    的頭像 發(fā)表于 07-19 18:12 ?1479次閱讀

    2nm制程近況佳,N3X、N2P以及A16節(jié)點已在規(guī)劃中

    聯(lián)合首席運營官張曉強進一步指出,2nm制程的研發(fā)正處于“非常順利”的狀態(tài):納米片的“轉(zhuǎn)換效果”已達預定目標中的90%,良率亦超過80%。
    的頭像 發(fā)表于 05-24 16:38 ?665次閱讀

    延緩中科二期用地1.4nm廠建設(shè),因2nm需求強勁,預計明年量產(chǎn)

    對于此事,回應(yīng)稱,將繼續(xù)配合相關(guān)部門處理廠房用地問題。值得注意的是,曾在北美技術(shù)論壇
    的頭像 發(fā)表于 04-30 16:20 ?326次閱讀

    2nm芯片研發(fā)迎新突破

    已經(jīng)明確了2nm工藝的量產(chǎn)時間表。預計試生產(chǎn)將于2024年下半年正式啟動,而小規(guī)模生產(chǎn)則將在2025年第二季度逐步展開。
    的頭像 發(fā)表于 04-11 15:25 ?479次閱讀

    2nm芯片研發(fā)工作已步入正軌

    據(jù)悉,已明確其2nm工藝的量產(chǎn)時間表,計劃在2024年下半年進行試產(chǎn),并在2025年第二季度逐步實現(xiàn)大規(guī)模生產(chǎn)。此外,
    的頭像 發(fā)表于 04-11 14:36 ?316次閱讀

    今日看點丨傳2nm制程加速安裝設(shè)備;吉利汽車新一代雷神混系統(tǒng)年內(nèi)發(fā)布

    1.傳2nm 制程加速安裝設(shè)備 預計2025 年量產(chǎn) ? 據(jù)半導體供應(yīng)鏈消息稱,
    發(fā)表于 03-25 11:03 ?804次閱讀

    Marvell將與合作2nm 以構(gòu)建模塊和基礎(chǔ)IP

    Marvell將與合作2nm 以構(gòu)建模塊和基礎(chǔ)IP 張忠謀于1987年成立的臺灣積體電路制造股份有限公司,簡稱:
    的頭像 發(fā)表于 03-11 16:32 ?667次閱讀

    功能密度定律是否能替代摩爾定律?摩爾定律和功能密度定律比較

    眾所周知,隨著IC工藝的特征尺寸向5nm、3nm邁進,摩爾定律已經(jīng)要走到盡頭了,那么,有什么定律能接替摩爾定律呢?
    的頭像 發(fā)表于 02-21 09:46 ?491次閱讀
    功能密度<b class='flag-5'>定律</b>是否能替代<b class='flag-5'>摩爾定律</b>?<b class='flag-5'>摩爾定律</b>和功能密度<b class='flag-5'>定律</b>比較

    蘋果將搶先采用2nm工藝,實現(xiàn)技術(shù)獨享

    例如,盡管iPhone 15 Pro已發(fā)布四個月,A17 Pro仍在使用專有的3nm工藝。根據(jù)MacRumors的報告,這一趨勢似乎仍將延續(xù)至
    的頭像 發(fā)表于 01-26 09:48 ?424次閱讀

    2nm制程技術(shù)上展開防守策略

    2nm技術(shù)是3nm技術(shù)的延續(xù)。一直以來,
    發(fā)表于 01-25 14:14 ?337次閱讀

    蘋果欲優(yōu)先獲取2nm產(chǎn)能,預計2024年安裝設(shè)備生產(chǎn)

    有消息人士稱,蘋果期望能夠提前獲得1.4nm(A14)以及1nm(A10)兩種更為先進的工藝的首次產(chǎn)能供應(yīng)。據(jù)了解,
    的頭像 發(fā)表于 01-25 14:10 ?395次閱讀

    摩爾定律時代,Chiplet落地進展和重點企業(yè)布局

    如何超越摩爾定律,時代的定義也從摩爾定律時代過渡到了摩爾定律
    的頭像 發(fā)表于 12-21 00:30 ?1334次閱讀

    今日看點丨高通驍龍 X Elite 芯片宣稱多核性能比蘋果M3高出 21%;傳將于2024年4月開始裝備2nm晶圓廠

    N22nm)項目的重要進展,因為該公司從未公布2nm晶圓廠的確切時間表。 ? 據(jù)報道稱,
    發(fā)表于 12-18 11:25 ?697次閱讀

    摩爾定律不會死去!這項技術(shù)將成為摩爾定律的拐點

    因此,可以看出,為了延續(xù)摩爾定律,專家絞盡腦汁想盡各種辦法,包括改變半導體材料、改變整體結(jié)構(gòu)、引入新的工藝。但不可否認的是,摩爾定律在近幾年逐漸放緩。10nm、7nm、5
    的頭像 發(fā)表于 11-03 16:09 ?545次閱讀
    <b class='flag-5'>摩爾定律</b>不會死去!這項技術(shù)將成為<b class='flag-5'>摩爾定律</b>的拐點

    有望2025年量產(chǎn)2nm芯片

    ? ? ? ?在臺的法人說明會上據(jù)總裁魏哲家透露臺
    的頭像 發(fā)表于 10-20 12:06 ?1219次閱讀