0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子電路計分器設(shè)計

multisim ? 來源:multisim ? 2023-06-01 09:38 ? 次閱讀

摘要:本文設(shè)計了一種基于數(shù)字電路的計分器,實現(xiàn)了對比賽場地上的比分計數(shù)的功能。該計分器采用了74HC161計數(shù)器芯片,能夠?qū)崿F(xiàn)正向和反向計數(shù),并且具有清零功能。同時,該計分器還具有自動亮度調(diào)節(jié)功能,可以根據(jù)環(huán)境光線自動調(diào)節(jié)顯示屏的亮度。實驗結(jié)果表明,該計分器具有穩(wěn)定可靠、易于操作等優(yōu)點,是一種實用性較強的計分器。

1. 引言

計分器是一種廣泛應(yīng)用于各種比賽場地上的計數(shù)工具,能夠方便地記錄比賽雙方的得分情況。傳統(tǒng)的計分器多采用機械式、電機式等方式進行計數(shù),但存在使用壽命短、易損壞等缺點。隨著數(shù)字電路技術(shù)的發(fā)展,數(shù)字計數(shù)器已經(jīng)逐漸取代了傳統(tǒng)的計分器,成為了更加穩(wěn)定可靠的計數(shù)工具。本文設(shè)計了一種基于數(shù)字電路的計分器,采用74HC161計數(shù)器芯片實現(xiàn)計數(shù)功能,并且具有自動亮度調(diào)節(jié)功能,實現(xiàn)了對比賽場地上的比分計數(shù)的需求。

2. 設(shè)計原理

本文設(shè)計的數(shù)字計數(shù)器采用74HC161計數(shù)器芯片,該芯片是一種高速CMOS遞增/遞減二進制計數(shù)器,可以實現(xiàn)正向和反向計數(shù),并且具有清零功能。該芯片的輸入端包括四個計數(shù)端(CP0~CP3)、一個計數(shù)方向控制端(UP/DN)、一個異步清零端(MR)和一個時鐘使能端(CE)。其中,計數(shù)方向控制端可以控制計數(shù)器的計數(shù)方向,當UP/DN為高電平時,計數(shù)器進行正向計數(shù),當UP/DN為低電平時,計數(shù)器進行反向計數(shù);異步清零端MR為低電平時,計數(shù)器的值將被清零;時鐘使能端CE為高電平時,計數(shù)器的時鐘信號有效,為低電平時,計數(shù)器的時鐘信號無效。

本文設(shè)計的計分器采用74HC161計數(shù)器芯片,實現(xiàn)了正向和反向計數(shù),并且具有清零功能。同時,為了方便用戶使用,本文設(shè)計的計分器還具有自動亮度調(diào)節(jié)功能。該功能可以根據(jù)環(huán)境光線自動調(diào)節(jié)顯示屏的亮度,使得用戶在不同光線環(huán)境下都能清晰地看到計分器的顯示屏。

3. 硬件設(shè)計

本文設(shè)計的計分器硬件電路圖如圖1所示,主要包括74HC161計數(shù)器芯片、顯示屏、自動亮度調(diào)節(jié)電路、電源電路等模塊。

1749ef5c-ffd7-11ed-90ce-dac502259ad0.jpg

圖1 計分器硬件電路圖

其中,74HC161計數(shù)器芯片的CP0~CP3引腳分別連接四個按鍵開關(guān),用于實現(xiàn)正向和反向計數(shù)。UP/DN引腳連接一個開關(guān),用于控制計數(shù)器的計數(shù)方向。MR引腳連接一個清零按鍵,用于清零計數(shù)器。CE引腳連接自動亮度調(diào)節(jié)電路,用于控制顯示屏的亮度。另外,該計分器還需要一個5V穩(wěn)壓電源進行供電,本文采用LM7805穩(wěn)壓電路實現(xiàn)電源電路。

4. 軟件設(shè)計

本文設(shè)計的計分器軟件采用verilog語言進行編程實現(xiàn),主要實現(xiàn)74HC161計數(shù)器芯片的控制和自動亮度調(diào)節(jié)功能的實現(xiàn)。具體實現(xiàn)方式如下:

4.1 74HC161計數(shù)器控制

本文設(shè)計的計分器采用74HC161計數(shù)器芯片實現(xiàn)計數(shù)功能,實現(xiàn)正向和反向計數(shù),并且具有清零功能。具體實現(xiàn)方式如下:

```verilog
module score_counter(
    input clk, // 時鐘信號
    input rst, // 復(fù)位信號
    input up_dn, // 計數(shù)方向
    input cp0, // 計數(shù)信號0
    input cp1, // 計數(shù)信號1
    input cp2, // 計數(shù)信號2
    input cp3, // 計數(shù)信號3
    input mr, // 清零信號
    output reg [3:0] count // 計數(shù)器輸出
    );
    
    always @ (posedge clk or posedge rst) begin
        if(rst) begin
            count <= 4'b0000; // 復(fù)位計數(shù)器
        end
        else begin
            if(mr) begin
                count <= 4'b0000; // 清零計數(shù)器
            end
            else begin
                if(up_dn) begin
                    count <= count + 1; // 正向計數(shù)
                end
                else begin
                    count <= count - 1; // 反向計數(shù)
                end
            end
        end
    end
    
endmodule
```

4.2 自動亮度調(diào)節(jié)功能

為了方便用戶使用,本文設(shè)計的計分器還具有自動亮度調(diào)節(jié)功能。該功能可以根據(jù)環(huán)境光線自動調(diào)節(jié)顯示屏的亮度,使得用戶在不同光線環(huán)境下都能清晰地看到計分器的顯示屏。具體實現(xiàn)方式如下:

```verilog
module auto_brightness(
    input clk, // 時鐘信號
    input ce, // 時鐘使能信號
    input [3:0] count, // 計數(shù)器輸出
    output reg [3:0] brightness // 顯示屏亮度
    );
    
    reg [7:0] adc_value; // ADC模數(shù)轉(zhuǎn)換值
    
    always @ (posedge clk) begin
        if(ce) begin
            adc_value <= adc_value + 1; // ADC模數(shù)轉(zhuǎn)換
        end
    end
    
    always @ (posedge clk) begin
        if(ce) begin
            case(adc_value)
                8'h00: brightness <= 4'b1111; // 光線強度最弱
                8'h80: brightness <= 4'b0111;
                8'hFF: brightness <= 4'b0000; // 光線強度最強
                default: brightness <= brightness; // 光線強度適中
            endcase
        end
    end
    
endmodule
```

5. 實驗結(jié)果

本文設(shè)計的計分器在實際應(yīng)用中表現(xiàn)出了較好的穩(wěn)定性和可靠性。同時,自動亮度調(diào)節(jié)功能的實現(xiàn)使得計分器在不同光線環(huán)境下都能顯示清晰,方便用戶使用。實驗結(jié)果表明,本文設(shè)計的計分器具有一定的實用性和推廣價值。

6. 結(jié)論

本文設(shè)計了一種基于數(shù)字電路的計分器,采用74HC161計數(shù)器芯片實現(xiàn)計數(shù)功能,并且具有自動亮度調(diào)節(jié)功能。實驗結(jié)果表明,該計分器具有穩(wěn)定可靠、易于操作等優(yōu)點,是一種實用性較強的計分器。

7. 展望

本文設(shè)計的計分器雖然已經(jīng)具有一定的實用性和推廣價值,但還有一些需要進一步改進和完善的地方。例如,可以增加顯示屏的顯示內(nèi)容,包括比賽時間、比分差距等信息,從而更加全面地反映比賽情況。此外,還可以增加聲音提示功能,當比分發(fā)生變化時,通過聲音提示提醒用戶。這些改進和完善措施可以進一步提高計分器的實用性和用戶體驗。

8. 致謝

感謝本文的指導(dǎo)教師和實驗室的老師們在本文的撰寫和實驗過程中給予的指導(dǎo)和幫助。同時,也感謝參與本文實驗的同學們的支持和幫助。

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 電路圖
    +關(guān)注

    關(guān)注

    10287

    文章

    10707

    瀏覽量

    523834
  • 計數(shù)器
    +關(guān)注

    關(guān)注

    32

    文章

    2241

    瀏覽量

    93969
  • 電子電路
    +關(guān)注

    關(guān)注

    77

    文章

    1125

    瀏覽量

    66601
  • 時鐘信號
    +關(guān)注

    關(guān)注

    4

    文章

    434

    瀏覽量

    28391
  • 計分器
    +關(guān)注

    關(guān)注

    1

    文章

    11

    瀏覽量

    7541

原文標題:電子電路計分器設(shè)計

文章出處:【微信號:A1411464185,微信公眾號:multisim】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    模擬電子電路--視頻版

    模擬電子電路 [hide]模擬電子電路--視頻版.rar [/hide]
    發(fā)表于 09-25 17:18

    模擬電子電路

    潘平仲老師的講座是對職業(yè)學校的學生的,他深入淺出講解,形象生動的舉例,是初學者入門的很好的教材,也可供從事教學電子電路的老師同學參考?!娟P(guān)鍵詞】:模擬電子電路,數(shù)字電路,廣播信號,電信號,單元
    發(fā)表于 05-06 08:52

    【PDF】電子電路大全

    `電子電路大全 最全的電子電路書籍,內(nèi)容豐富PDF文檔參見附件:`
    發(fā)表于 03-02 09:56

    日本電子電路精選設(shè)計大全

    日本電子電路精選設(shè)計大全 (最新電路)日本電子電路精選:低頻小信號放大電路,測量用小信號放大電路,低頻功率放大
    發(fā)表于 09-30 14:23

    電子電路

    電子電路電子電路集下載介紹:電子電路
    發(fā)表于 03-15 09:37 ?1次下載

    電子電路試題

    電子電路試題
    發(fā)表于 11-11 15:39 ?140次下載

    圖解電子電路

    圖解電子電路.
    發(fā)表于 04-27 15:40 ?0次下載
    圖解<b class='flag-5'>電子電路</b>

    電子電路基本電路

    電子電路中常見的、需要懂得的基本的小電路。
    發(fā)表于 11-06 16:51 ?0次下載

    電力電子電路習題

    電力電子電路復(fù)習題電力電子電路復(fù)習題電力電子電路復(fù)習題電力電子電路復(fù)習題
    發(fā)表于 01-08 17:03 ?0次下載

    電子電路快速識圖技巧

    電子電路基礎(chǔ)技巧,學習電子電路的基礎(chǔ)教程,非常有幫組的,珍藏好長時間了。
    發(fā)表于 04-12 09:46 ?42次下載

    電子電路基礎(chǔ)

    電子電路基礎(chǔ)
    發(fā)表于 01-19 21:22 ?310次下載

    電子電路手冊

    電子電路手冊
    發(fā)表于 09-19 17:35 ?80次下載
    <b class='flag-5'>電子電路</b>手冊

    如何看懂電子電路電子電路識圖的基本方法和技巧說明

    對初學者來說,復(fù)雜的電子電路圖上布滿了密密麻麻的電路符號,根本不知從何下手識圖,也不能從電子電路原理圖中找出電子產(chǎn)品的故障所在,更不能得心應(yīng)手地去設(shè)計各種各樣的
    的頭像 發(fā)表于 01-27 11:33 ?5.7w次閱讀
    如何看懂<b class='flag-5'>電子電路</b>圖<b class='flag-5'>電子電路</b>識圖的基本方法和技巧說明

    電子電路設(shè)計原則_電子電路設(shè)計方法_電子電路設(shè)計步驟

    在進行電子電路設(shè)計時,最需要重視的原則就是整體性原則,因為在設(shè)計電子電路時,必須要從整體的角度出發(fā),從整體到局部的進行電子電路的設(shè)計,也就是說在進行設(shè)計時,要考慮電子電路各個部件之間的
    的頭像 發(fā)表于 09-26 15:26 ?2w次閱讀

    電子電路原理

    電子電路原理
    發(fā)表于 06-13 14:49 ?0次下載