0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

在Verilog中利用函數(shù)將重復性的行為級設計進行提取

冬至子 ? 來源:數(shù)字IC與好好生活的兩居室 ? 作者:除夕之夜啊 ? 2023-06-02 11:39 ? 次閱讀

Verilog 中,可以利用任務(關鍵字為 task)或函數(shù)(關鍵字為 function),將重復性的行為級設計進行提取,并在多個地方調(diào)用,來避免重復代碼的多次編寫,使代碼更加的簡潔、易懂。

函數(shù)

函數(shù)只能在模塊中定義,位置任意,并在模塊的任何地方引用,作用范圍也局限于此模塊。函數(shù)主要有以下幾個特點:

1)不含有任何延遲、時序或時序控制邏輯

2)至少有一個輸入變量

3)只有一個返回值,且沒有輸出

4)不含有非阻塞賦值語句

5)函數(shù)可以調(diào)用其他函數(shù),但是不能調(diào)用任務

Verilog 函數(shù)聲明格式如下:

function [range-1:0]     function_id ;
input_declaration ;
 other_declaration ;
procedural_statement ;
endfunction

函數(shù)在聲明時,會隱式的聲明一個寬度為 range、 名字為 function_id 的寄存器變量,函數(shù)的返回值通過這個變量進行傳遞。當該寄存器變量沒有指定位寬時,默認位寬為 1。

函數(shù)通過指明函數(shù)名與輸入變量進行調(diào)用。函數(shù)結(jié)束時,返回值被傳遞到調(diào)用處。

函數(shù)調(diào)用格式如下:

function_id(input1, input2, …);

下面用函數(shù)實現(xiàn)一個數(shù)據(jù)大小端轉(zhuǎn)換的功能。

當輸入為 4’b0011 時,輸出為 4’b1100。例如:

module endian_rvs
    #(parameter N = 4)
       (
           input             en,     //enable control
           input [N-1:0]     a ,
           output [N-1:0]    b
    );

       reg [N-1:0]          b_temp ;
       always @(*) begin
        if (en) begin
               b_temp =  data_rvs(a);
           end
           else begin
               b_temp = 0 ;
           end
    end
       assign b = b_temp ;

    //function entity
       function [N-1:0]     data_rvs ;
           input     [N-1:0] data_in ;
           parameter         MASK = 32'h3 ; 
           integer           k ;
           begin
               for(k=0; k< N; k=k+1) begin
                   data_rvs[N-k-1]  = data_in[k] ;  
               end
           end
    endfunction

endmodule

函數(shù)里的參數(shù)也可以改寫,例如:

defparam data_rvs.MASK = 32'd7 ;

但是仿真時發(fā)現(xiàn),此種寫法編譯可以通過,仿真結(jié)果中,函數(shù)里的參數(shù) MASK 實際并沒有改寫成功,仍然為 32’h3。這可能和編譯器有關,有興趣的學者可以用其他 Verilog 編譯器進行下實驗。

函數(shù)在聲明時,也可以在函數(shù)名后面加一個括號,將 input 聲明包起來。

例如上述大小端聲明函數(shù)可以表示為:

function [N-1:0]     data_rvs (
input     [N-1:0] data_in 
    ......
       );

常數(shù)函數(shù)

常數(shù)函數(shù)是指在仿真開始之前,在編譯期間就計算出結(jié)果為常數(shù)的函數(shù)。常數(shù)函數(shù)不允許訪問全局變量或者調(diào)用系統(tǒng)函數(shù),但是可以調(diào)用另一個常數(shù)函數(shù)。

這種函數(shù)能夠用來引用復雜的值,因此可用來代替常量。

例如下面一個常量函數(shù),可以來計算模塊中地址總線的寬度:

parameter    MEM_DEPTH = 256 ;
reg  [logb2(MEM_DEPTH)-1: 0] addr ; //可得addr的寬度為8bit

    function integer     logb2;
    input integer     depth ;
       //2569bit,我們最終數(shù)據(jù)應該是8,所以需depth=2時提前停止循環(huán)
    for(logb2=0; depth >1; logb2=logb2+1) begin
        depth = depth > > 1 ;
    end
endfunction

automatic函數(shù)

在 Verilog 中,一般函數(shù)的局部變量是靜態(tài)的,即函數(shù)的每次調(diào)用,函數(shù)的局部變量都會使用同一個存儲空間。若某個函數(shù)在兩個不同的地方同時并發(fā)的調(diào)用,那么兩個函數(shù)調(diào)用行為同時對同一塊地址進行操作,會導致不確定的函數(shù)結(jié)果。

Verilog 用關鍵字 automatic 來對函數(shù)進行說明,此類函數(shù)在調(diào)用時是可以自動分配新的內(nèi)存空間的,也可以理解為是可遞歸的。因此,automatic 函數(shù)中聲明的局部變量不能通過層次命名進行訪問,但是 automatic 函數(shù)本身可以通過層次名進行調(diào)用。

下面用 automatic 函數(shù),實現(xiàn)階乘計算:

wire [31:0]          results3 = factorial(4);
function automatic   integer         factorial ;
    input integer     data ;
    integer           i ;
    begin
        factorial = (data >=2)? data * factorial(data-1) : 1 ;
    end
endfunction // factorial

下面是加關鍵字 automatic 和不加關鍵字 automatic 的仿真結(jié)果。

由圖可知,信號 results3 得到了我們想要的結(jié)果,即 4 的階乘。

而信號 results_noauto 值為 1,不是可預知的正常結(jié)果,這里不再做無用分析。

圖片

數(shù)碼管譯碼

上述中涉及的相關函數(shù)知識似乎并沒有體現(xiàn)出函數(shù)的優(yōu)越性。下面設計一個 4 位 10 進制的數(shù)碼管譯碼器,來說明函數(shù)可以簡化代碼的優(yōu)點。

◆數(shù)碼管控制示意圖如下。

每位數(shù)碼顯示端有 8 個光亮控制端(如圖中 a-g 所示),可以用來控制顯示數(shù)字 0-9 。

而數(shù)碼管有 4 個片選(如圖中 1-4),用來控制此時哪一位數(shù)碼顯示端應該選通,即應該發(fā)光。倘若在很短的時間內(nèi),依次對 4 個數(shù)碼顯示端進行片選發(fā)光,同時在不同片選下給予不同的光亮控制(各對應 4 位十進制數(shù)字),那么在肉眼不能分辨的情況下,就達到了同時顯示 4 位十進制數(shù)字的效果。

圖片

◆下面,我們用信號 abcdefg 來控制光亮控制端,用信號 csn 來控制片選,4 位 10 進制的數(shù)字個十百千位分別用 4 個 4bit 信號 single_digit, ten_digit, hundred_digit, kilo_digit 來表示,則一個數(shù)碼管的顯示設計可以描述如下:

module digital_tube
     (
      input             clk ,
      input             rstn ,
      input             en ,

      input [3:0]       single_digit ,
      input [3:0]       ten_digit ,
      input [3:0]       hundred_digit ,
      input [3:0]       kilo_digit ,

      output reg [3:0]  csn , //chip select, low-available
      output reg [6:0]  abcdefg        //light control
      );

    reg [1:0]            scan_r ;  //scan_ctrl
    always @ (posedge clk or negedge rstn) begin
        if(!rstn)begin
            csn            <= 4'b1111;
            abcdefg        <= 'd0;
            scan_r         <= 3'd0;
        end
        else if (en) begin
            case(scan_r)
            2'd0:begin
                scan_r    <= 3'd1;
                csn       <= 4'b0111;     //select single digit
                abcdefg   <= dt_translate(single_digit);
            end
            2'd1:begin
                scan_r    <= 3'd2;
                csn       <= 4'b1011;     //select ten digit
                abcdefg   <= dt_translate(ten_digit);
            end
            2'd2:begin
                scan_r    <= 3'd3;
                csn       <= 4'b1101;     //select hundred digit
                abcdefg   <= dt_translate(hundred_digit);
            end
            2'd3:begin
                scan_r    <= 3'd0;
                csn       <= 4'b1110;     //select kilo digit
                abcdefg   <= dt_translate(kilo_digit);
            end
            endcase
        end
    end

    /*------------ translate function -------*/
    function [6:0] dt_translate;
        input [3:0]   data;
        begin
        case(data)
            4'd0: dt_translate = 7'b1111110;     //number 0 - > 0x7e
            4'd1: dt_translate = 7'b0110000;     //number 1 - > 0x30
            4'd2: dt_translate = 7'b1101101;     //number 2 - > 0x6d
            4'd3: dt_translate = 7'b1111001;     //number 3 - > 0x79
            4'd4: dt_translate = 7'b0110011;     //number 4 - > 0x33
            4'd5: dt_translate = 7'b1011011;     //number 5 - > 0x5b
            4'd6: dt_translate = 7'b1011111;     //number 6 - > 0x5f
            4'd7: dt_translate = 7'b1110000;     //number 7 - > 0x70
            4'd8: dt_translate = 7'b1111111;     //number 8 - > 0x7f
            4'd9: dt_translate = 7'b1111011;     //number 9 - > 0x7b
        endcase
        end
    endfunction

endmodule

◆仿真結(jié)果如下。

由圖可知,片選、譯碼等信號,均符合設計。實際中,4 位數(shù)字應當在一定的時間內(nèi)保持不變,而片選信號不停的循環(huán)掃描,數(shù)碼管才能給肉眼呈現(xiàn)一種靜態(tài)顯示的效果。

圖片

◆小結(jié)

如果譯碼器設計沒有使用函數(shù) dt_translate,則在每個 case 選項里對信號 abcdefg 進行賦值時,還需要對 single_digit,ten_digit, hundred_digit, kilo_digit 進行判斷。這些判斷語句又會重復 4 次。雖然最后綜合出的實際硬件電路可能是一樣的,但顯然使用函數(shù)后的代碼更加的簡潔、易讀。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 寄存器
    +關注

    關注

    31

    文章

    5250

    瀏覽量

    119200
  • Verilog
    +關注

    關注

    28

    文章

    1333

    瀏覽量

    109713
  • 數(shù)碼管
    +關注

    關注

    31

    文章

    1869

    瀏覽量

    90518
  • 譯碼器
    +關注

    關注

    4

    文章

    310

    瀏覽量

    50156
收藏 人收藏

    評論

    相關推薦

    測量系統(tǒng)分析:測量系統(tǒng)的的重復性和重現(xiàn)性

    測量系統(tǒng)分析:測量系統(tǒng)的的重復性和重現(xiàn)性
    發(fā)表于 08-16 13:44

    大規(guī)模中文搜索日志查詢重復性分析

    分析大規(guī)模中文搜索日志的查詢重復性,通過對查詢重復率和用戶個體查詢重復率等數(shù)據(jù)的統(tǒng)計發(fā)現(xiàn):查詢串的查詢頻率、文檔的點擊頻率及用戶查詢頻率均符合Zipf分布,查詢重
    發(fā)表于 04-11 09:25 ?8次下載

    測量系統(tǒng)的的重復性和重現(xiàn)性

    測量系統(tǒng)的的重復性和重現(xiàn)性:MSA的相當重要的一部分是研究測量系統(tǒng)的重復性(Repeatability)和重現(xiàn)性(Reproducibility).
    發(fā)表于 08-16 13:14 ?17次下載

    相控陣探頭的重復性與可靠性

    相控陣探頭的重復性與可靠性 Over the past few years, new procedures involving phased array technology were
    發(fā)表于 03-20 10:45 ?24次下載

    并行傳感器如何提高傾斜測量的精度和可重復性

    并行傳感器如何提高傾斜測量的精度和可重復性?具體的跟隨小編通過本文來詳細的了解下。
    的頭像 發(fā)表于 07-11 13:27 ?3287次閱讀

    15年內(nèi)人工智能將取代50%的重復性崗位

    創(chuàng)新工場CEO李開復近日表示,15年內(nèi),人工智能和自動化具備取代40-50%崗位的技術能力,主要集中重復性勞動、有固定臺本和對白內(nèi)容的各種互動、不需要與人進行大量面對面交流的工作等
    的頭像 發(fā)表于 09-11 11:02 ?3419次閱讀

    機器人技術的可重復性和準確性分析

    工業(yè)機器人具有許多度量或可測量的特征,這將直接影響機器人在執(zhí)行任務期間的效率??蓽y量特性主要指的是可重復性和準確性。
    發(fā)表于 09-17 14:47 ?2632次閱讀
    機器人技術的可<b class='flag-5'>重復性</b>和準確性分析

    計量標準重復性的測量方法

    計量標準的重復性規(guī)定用測量結(jié)果的分散性來定量地表示,即用單次測量結(jié)果yi的實驗標準差s(yi)來表示。當測量結(jié)果由單次測量得到時,它直接就是由重復性引入的不確定度分量。
    的頭像 發(fā)表于 11-12 14:19 ?1.9w次閱讀

    流量計重復性差的解決方法

    實際使用過程,流量計常會出現(xiàn)重復性差的問題,困惑了不少現(xiàn)場工程師。經(jīng)過現(xiàn)場觀察和總結(jié),發(fā)現(xiàn)流量計和體積管內(nèi)有氣體,系統(tǒng)的壓力、溫度、流量不穩(wěn)定,四通閥轉(zhuǎn)換密封不合適,計量球的圓度和尺寸等情況都會
    發(fā)表于 01-05 11:37 ?1459次閱讀

    計量標準的重復性考核要求

    計量標準的重復性規(guī)定用測量結(jié)果的分散性來定量地表示,即用單次測量結(jié)果yi的實驗標準差s(yi)來表示。當測量結(jié)果由單次測量得到時,它直接就是由重復性引入的不確定度分量。當
    的頭像 發(fā)表于 03-18 10:21 ?3080次閱讀

    Verilog函數(shù)實現(xiàn)一個數(shù)據(jù)大小端轉(zhuǎn)換的功能

    Verilog ,可以利用任務(關鍵字為 task)或函數(shù)(關鍵字為 function),
    的頭像 發(fā)表于 06-01 16:31 ?1403次閱讀
    用<b class='flag-5'>Verilog</b><b class='flag-5'>函數(shù)</b>實現(xiàn)一個數(shù)據(jù)大小端轉(zhuǎn)換的功能

    計量標準的重復性考核要求

    進行重復性測量時,相同的測量程序,相同的觀測者,使用相同的儀器,以及相同地點等要求一般均能得到滿足而不會有任何問題。關鍵是如何理解“相同的條件下”以及“
    的頭像 發(fā)表于 07-19 15:52 ?1816次閱讀
    計量標準的<b class='flag-5'>重復性</b>考核要求

    PySnooper:替代print的重復性工作

    麻煩。 現(xiàn)在,有了PySnooper,您并不需要配置那么復雜的Debug工具,就能夠完成對整個代碼的分析。它能告訴您哪些代碼正在運行,以及局部變量的值是什么。 其實,PySnooper 就是替代了一行一行print的重復性工作,給你的代碼一個pysnooper裝飾器,它能自動識別到語句和變量
    的頭像 發(fā)表于 10-30 10:41 ?321次閱讀

    verilog function函數(shù)的用法

    Verilog 中被廣泛用于對電路進行模塊化設計,以簡化和組織代碼。 本文詳細介紹 Verilog 函數(shù)的用法,并探討
    的頭像 發(fā)表于 02-22 15:49 ?3922次閱讀

    立儀科技光譜共焦應用之金屬隔膜靜態(tài)重復性測量

    01|檢測需求:金屬隔膜重復性測量 ? 立儀科技光譜共焦應用之金屬隔膜靜態(tài)重復性測量 02|檢測方式 為了保證精度,首先先用千分尺進行測量,得出相應的厚度數(shù)據(jù),選擇合適的側(cè)頭,根據(jù)結(jié)
    的頭像 發(fā)表于 08-09 14:33 ?146次閱讀
    立儀科技光譜共焦應用之金屬隔膜靜態(tài)<b class='flag-5'>重復性</b>測量