0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

異步時(shí)鐘的同步處理

冬至子 ? 來(lái)源:兩猿社 ? 作者:IC猿 ? 2023-06-05 14:34 ? 次閱讀

亞穩(wěn)態(tài)

在異步系統(tǒng)中,由于數(shù)據(jù)和時(shí)鐘的關(guān)系不是固定的,因此會(huì)出現(xiàn)違反建立和保持時(shí)間的現(xiàn)象。此時(shí)會(huì)出現(xiàn)輸出介于兩個(gè)有效態(tài)的中間電平并且無(wú)法確定停留在中間態(tài)的時(shí)間,在經(jīng)過(guò)一定的延遲后才能進(jìn)行正常轉(zhuǎn)換。這種處于中間的狀態(tài)稱為亞穩(wěn)態(tài)。

亞穩(wěn)態(tài)的產(chǎn)生

當(dāng)違背建立,保持時(shí)間時(shí),亞穩(wěn)態(tài)就會(huì)出現(xiàn),在以下情況下,可能出現(xiàn)亞穩(wěn)態(tài)。

  • 異步輸入信號(hào)
  • 時(shí)序違例
  • 信號(hào)在不同時(shí)鐘域下跨時(shí)鐘域工作
  • 競(jìng)爭(zhēng)冒險(xiǎn)

本文主要講解信號(hào)在不同時(shí)鐘域下的工作,即異步時(shí)鐘的同步處理。

跨時(shí)鐘域的信號(hào)同步

  • 兩級(jí)同步
  • 握手操作
  • 異步FIFO或異步雙口RAM

控制信號(hào)的傳輸

  • 兩級(jí)同步
    • 多級(jí)同步觸發(fā)器是單bit數(shù)據(jù)的常見同步方式。方法是在跨時(shí)鐘域的信號(hào)上加上兩級(jí)或多級(jí)同步觸發(fā)器。

    • 兩級(jí)同步器可以極大概率避免亞穩(wěn)態(tài)的產(chǎn)生。有兩個(gè)明顯的缺點(diǎn):

      1) 輸入信號(hào)必須保證寬度大于或等于1個(gè)采樣時(shí)鐘周期(輸入信號(hào)加約束);

      2) 不可避免的增加了電路的整體延時(shí)(兩級(jí)同步器為2個(gè)采樣時(shí)鐘周期)。

圖片

多級(jí)同步器

圖片

兩級(jí)同步器

兩級(jí)同步器Verilog表示為:

1always@(posedge dest_clk) begin
2    dest_data1_in <= src_data_out;
3    dest_data2_in <= dest_data1_in;
4end

數(shù)據(jù)信號(hào)的傳輸

  • 握手信號(hào)方法

    使用握手方式是最古老的和可靠的不同時(shí)鐘域的數(shù)據(jù)傳輸方式。

    下圖是由兩個(gè)時(shí)鐘域分割成的兩個(gè)單獨(dú)的模塊。
    圖片
    跨時(shí)鐘域模塊
    使用握手信號(hào)xack和yreq,模塊X將數(shù)據(jù)發(fā)送給模塊Y。

    下面是使用握手信號(hào)傳輸數(shù)據(jù)的例子。

    1)模塊X將數(shù)據(jù)放到數(shù)據(jù)總線上并發(fā)出xreq(請(qǐng)求)信號(hào),表示有效數(shù)據(jù)已經(jīng)放到數(shù)據(jù)總線上。

    2)把xreq信號(hào)打兩級(jí)同步到y(tǒng)clk時(shí)鐘域。

    3)模塊Y在接收到xreq同步后的信號(hào)后,接收數(shù)據(jù)總線上的信號(hào)。

    4)模塊Y接收數(shù)據(jù)的同時(shí)發(fā)出yack(響應(yīng))信號(hào),表示已經(jīng)接收到了數(shù)據(jù)。

    5)模塊X接收到y(tǒng)ack后打兩級(jí)同步到xclk時(shí)鐘域。

    6)模塊X在接收到y(tǒng)ack同步后的信號(hào)后,使xreq無(wú)效,表示此次數(shù)據(jù)傳輸結(jié)束。

    7)模塊Y在發(fā)現(xiàn)xreq無(wú)效后,使yack無(wú)效。

    8)模塊X發(fā)現(xiàn)yack無(wú)效后可以進(jìn)行下一筆數(shù)據(jù)傳輸。
    優(yōu)點(diǎn) :不論xclk和yclk的頻率如何,都可以進(jìn)行數(shù)據(jù)傳輸。

    缺點(diǎn) :完成數(shù)據(jù)傳輸?shù)难舆t比使用異步FIFO傳輸大得多。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • RAM
    RAM
    +關(guān)注

    關(guān)注

    8

    文章

    1344

    瀏覽量

    114215
  • 觸發(fā)器
    +關(guān)注

    關(guān)注

    14

    文章

    1990

    瀏覽量

    60871
  • FIFO存儲(chǔ)
    +關(guān)注

    關(guān)注

    0

    文章

    103

    瀏覽量

    5946
  • 時(shí)鐘域
    +關(guān)注

    關(guān)注

    0

    文章

    50

    瀏覽量

    9510
  • 異步時(shí)鐘
    +關(guān)注

    關(guān)注

    0

    文章

    17

    瀏覽量

    9394
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    FPGA異步時(shí)鐘設(shè)計(jì)中的同步策略

    摘要:FPGA異步時(shí)鐘設(shè)計(jì)中如何避免亞穩(wěn)態(tài)的產(chǎn)生是一個(gè)必須考慮的問(wèn)題。本文介紹了FPGA異步時(shí)鐘設(shè)計(jì)中容易產(chǎn)生的亞穩(wěn)態(tài)現(xiàn)象及其可能造成的危害,同時(shí)根據(jù)實(shí)踐經(jīng)驗(yàn)給出了解決這些問(wèn)題的幾種
    發(fā)表于 04-21 16:52

    簡(jiǎn)談異步電路中的時(shí)鐘同步處理方法

    大家好,又到了每日學(xué)習(xí)的時(shí)候了。今天我們來(lái)聊一聊異步電路中的時(shí)鐘同步處理方法。既然說(shuō)到了時(shí)鐘同步
    發(fā)表于 02-09 11:21

    異步時(shí)鐘同步疑惑

    ?也就是說(shuō)采樣異步時(shí)鐘域信號(hào)時(shí)不打拍有什么后果? 另外,當(dāng)高速時(shí)鐘采樣慢速上升沿或下降沿時(shí),采樣結(jié)果抖動(dòng)怎么處理?比如DS18B20長(zhǎng)線驅(qū)動(dòng)時(shí),波形上升沿和下降沿被長(zhǎng)線的線間電容牽制
    發(fā)表于 09-12 20:39

    異步時(shí)鐘域的亞穩(wěn)態(tài)問(wèn)題和同步

    相較純粹的單一時(shí)鐘同步電路設(shè)計(jì),設(shè)計(jì)人員更多遇到的是多時(shí)鐘域的異步電路設(shè)計(jì)。因此,異步電路設(shè)計(jì)在數(shù)字電路設(shè)計(jì)中的重要性不言而喻。本文主要就
    發(fā)表于 07-31 16:51 ?0次下載

    同步傳輸/異步傳輸原理什么?

    同步傳輸/異步傳輸原理什么? 同步傳輸 同步傳輸方式中發(fā)送方和接收方的時(shí)鐘是統(tǒng)一的、字符與字符
    發(fā)表于 04-03 15:10 ?2286次閱讀

    FPGA設(shè)計(jì)中的異步復(fù)位同步釋放問(wèn)題

    異步復(fù)位同步釋放 首先要說(shuō)一下同步復(fù)位與異步復(fù)位的區(qū)別。 同步復(fù)位是指復(fù)位信號(hào)在時(shí)鐘的上升沿或者
    發(fā)表于 06-07 02:46 ?2097次閱讀

    同步異步時(shí)鐘之間是如何聯(lián)系_如何正確的約束時(shí)鐘

    現(xiàn)在的硬件設(shè)計(jì)中,大量的時(shí)鐘之間彼此相互連接是很典型的現(xiàn)象。為了保證Vivado優(yōu)化到關(guān)鍵路徑,我們必須要理解時(shí)鐘之間是如何相互作用,也就是同步異步
    的頭像 發(fā)表于 05-12 10:15 ?2w次閱讀

    簡(jiǎn)談異步電路中的時(shí)鐘同步處理方法

    大家好,又到了每日學(xué)習(xí)的時(shí)候了。今天我們來(lái)聊一聊異步電路中的時(shí)鐘同步處理方法。 既然說(shuō)到了時(shí)鐘同步
    的頭像 發(fā)表于 05-21 14:56 ?1.3w次閱讀
    簡(jiǎn)談<b class='flag-5'>異步</b>電路中的<b class='flag-5'>時(shí)鐘</b><b class='flag-5'>同步</b><b class='flag-5'>處理</b>方法

    時(shí)鐘域的同步時(shí)序設(shè)計(jì)和幾種處理異步時(shí)鐘域接口的方法

    外部輸入的信號(hào)與本地時(shí)鐘異步的。在SoC設(shè)計(jì)中,可能同時(shí)存在幾個(gè)時(shí)鐘域,信號(hào)的輸出驅(qū)動(dòng)和輸入采樣在不同的時(shí)鐘節(jié)拍下進(jìn)行,可能會(huì)出現(xiàn)一些不穩(wěn)定的現(xiàn)象。本文分析了在跨
    的頭像 發(fā)表于 07-24 09:52 ?4221次閱讀
    多<b class='flag-5'>時(shí)鐘</b>域的<b class='flag-5'>同步</b>時(shí)序設(shè)計(jì)和幾種<b class='flag-5'>處理</b><b class='flag-5'>異步</b><b class='flag-5'>時(shí)鐘</b>域接口的方法

    IC設(shè)計(jì)中同步復(fù)位與異步復(fù)位的區(qū)別

    1、什么是同步邏輯和異步邏輯,同步電路和異步電路的區(qū)別是什么? 同步邏輯是時(shí)鐘之間有固定的因果關(guān)
    的頭像 發(fā)表于 11-09 14:58 ?9710次閱讀

    如何將一種異步時(shí)鐘域轉(zhuǎn)換成同步時(shí)鐘

     本發(fā)明提供了一種將異步時(shí)鐘域轉(zhuǎn)換成同步時(shí)鐘域的方法,直接使用同步時(shí)鐘對(duì)
    發(fā)表于 12-21 17:10 ?5次下載
    如何將一種<b class='flag-5'>異步</b><b class='flag-5'>時(shí)鐘</b>域轉(zhuǎn)換成<b class='flag-5'>同步</b><b class='flag-5'>時(shí)鐘</b>域

    Verilog電路設(shè)計(jì)之單bit跨時(shí)鐘同步異步FIFO

    FIFO用于為匹配讀寫速度而設(shè)置的數(shù)據(jù)緩沖buffer,當(dāng)讀寫時(shí)鐘異步時(shí),就是異步FIFO。多bit的數(shù)據(jù)信號(hào),并不是直接從寫時(shí)鐘同步到讀
    發(fā)表于 01-01 16:48 ?1202次閱讀

    同步FIFO和異步FIFO的區(qū)別 同步FIFO和異步FIFO各在什么情況下應(yīng)用

    簡(jiǎn)單的一種,其特點(diǎn)是輸入和輸出都與時(shí)鐘信號(hào)同步,當(dāng)時(shí)鐘到來(lái)時(shí),數(shù)據(jù)總是處于穩(wěn)定狀態(tài),因此容易實(shí)現(xiàn)數(shù)據(jù)的傳輸和存儲(chǔ)。 而異步FIFO則是在波形的上升沿和下降沿上進(jìn)行
    的頭像 發(fā)表于 10-18 15:23 ?1462次閱讀

    什么是同步邏輯和異步邏輯?同步電路與異步電路有何區(qū)別?

    什么是同步邏輯和異步邏輯?同步電路與異步電路有何區(qū)別? 同步邏輯和異步邏輯是計(jì)算機(jī)科學(xué)中的兩種不
    的頭像 發(fā)表于 11-17 14:16 ?1953次閱讀

    異步電路中的時(shí)鐘同步處理方法

    異步電路中的時(shí)鐘同步處理方法? 時(shí)鐘同步異步電路中
    的頭像 發(fā)表于 01-16 14:42 ?832次閱讀