0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

verilog中的task用法介紹

冬至子 ? 來源:Andy的ICer之路 ? 作者:AndyICer ? 2023-06-05 16:21 ? 次閱讀

任務(wù)就是一段封裝在“task-endtask”之間的程序。任務(wù)是通過調(diào)用來執(zhí)行的,而且只有在調(diào)用時(shí)才執(zhí)行,如果定義了任務(wù),但是在整個(gè)過程中都沒有調(diào)用它,那么這個(gè)任務(wù)是不會(huì)執(zhí)行的。調(diào)用某個(gè)任務(wù)時(shí)可能需要它處理某些數(shù)據(jù)并返回操作結(jié)果,所以任務(wù)應(yīng)當(dāng)有接收數(shù)據(jù)的輸入端和返回?cái)?shù)據(jù)的輸出端。另外,任務(wù)可以彼此調(diào)用,而且任務(wù)內(nèi)還可以調(diào)用函數(shù)。

**1.任務(wù)定義 **

任務(wù)定義的形式如下:

task task_id; 
[declaration] 
procedural_statement 
endtask

其中,關(guān)鍵詞 task 和 endtask 將它們之間的內(nèi)容標(biāo)志成一個(gè)任務(wù)定義, task 標(biāo)志著一個(gè)任務(wù)定義結(jié)構(gòu)的開始;task_id 是任務(wù)名;可選項(xiàng) declaration 是端口聲明語句和變量聲明語句,任務(wù)接收輸入值和返回輸出值就是通過此處聲明的端口進(jìn)行的;procedural_statement是一段用來完成這個(gè)任務(wù)操作的過程語句,如果過程語句多于一條,應(yīng)將其放在語句塊內(nèi);endtask 為任務(wù)定義結(jié)構(gòu)體結(jié)束標(biāo)志。下面給出一個(gè)任務(wù)定義的實(shí)例。

:定義一個(gè)任務(wù)。 
task task_demo;                //任務(wù)定義結(jié)構(gòu)開頭,命名為 task_demo 
input  [7:0] x,y;           //輸入端口說明 
output [7:0] tmp;           //輸出端口說明 


if(x >y)                  //給出任務(wù)定義的描述語句 
tmp = x; 
else 
tmp = y;
endtask 
上述代碼

定義了一個(gè)名為“task_demo”的任務(wù),求取兩個(gè)數(shù)的最大值。在定義任務(wù)時(shí),有下列六點(diǎn)需要注意:

(1)在第一行“task”語句中不能列出端口名稱;

(2)任務(wù)的輸入、輸出端口和雙向端口數(shù)量不受限制,甚至可以沒有輸入、輸出以及雙向端口。

(3)在任務(wù)定義的描述語句中,可以使用出現(xiàn)不可綜合操作符合語句(使用最為頻繁的就是延遲控制語句) ,但這樣會(huì)造成該任務(wù)不可綜合。

(4)在任務(wù)中可以調(diào)用其他的任務(wù)或函數(shù),也可以調(diào)用自身。

(5)在任務(wù)定義結(jié)構(gòu)內(nèi)不能出現(xiàn) initial和 always過程塊。

(6)在任務(wù)定義中可以出現(xiàn)“disable 中止語句” ,將中斷正在執(zhí)行的任務(wù),但其是不可綜合的。當(dāng)任務(wù)被中斷后,程序流程將返回到調(diào)用任務(wù)的地方繼續(xù)向下執(zhí)行。

**2.任務(wù)調(diào)用 **

雖然任務(wù)中不能出現(xiàn) initial 語句和 always 語句語句, 但任務(wù)調(diào)用語句可以在 initial 語句和 always 語句中使用,其語法形式如下:task_id[(端口1, 端口 2, ........, 端口 N)];

其中 task_id是要調(diào)用的任務(wù)名,端口 1、端口 2,…是參數(shù)列表。參數(shù)列表給出傳入任務(wù)的數(shù)據(jù)(進(jìn)入任務(wù)的輸入端)和接收返回結(jié)果的變量(從任務(wù)的輸出端接收返回結(jié)果) 。任務(wù)調(diào)用語句中,參數(shù)列表的順序必須與任務(wù)定義中的端口聲明順序相同。任務(wù)調(diào)用語句是過程性語句,所以任務(wù)調(diào)用中接收返回?cái)?shù)據(jù)的變量必須是寄存器類型。下面給出一個(gè)任務(wù)調(diào)用實(shí)例。

例:通過 Verilog HDL 的任務(wù)調(diào)用實(shí)現(xiàn)一個(gè) 4 bit全加器。

module EXAMPLE (A, B, CIN, S, COUT); 

input [3:0] A, B; 
input CIN; 
output [3:0] S; 
output COUT; 

reg [3:0] S; 
reg COUT; 
reg [1:0] S0, S1, S2, S3; 

task ADD; 

input A, B, CIN; 
output [1:0] C; 

reg [1:0] C; 
reg S, COUT; 

begin
S = A ^ B ^ CIN; 
COUT = (A&B) | (A&CIN) | (B&CIN); 
C = {COUT, S}; 
end 
endtask 

always @(A or B or CIN) begin 
ADD (A[0], B[0], CIN, S0); 
ADD (A[1], B[1], S0[1], S1); 
ADD (A[2], B[2], S1[1], S2); 
ADD (A[3], B[3], S2[1], S3); 
S = {S3[0], S2[0], S1[0], S0[0]}; 
COUT = S3[1]; 
end 
endmodule

在調(diào)用任務(wù)時(shí),需要注意以下幾點(diǎn):

(1)任務(wù)調(diào)用語句只能出現(xiàn)在過程塊內(nèi);

(2)任務(wù)調(diào)用語句和一條普通的行為描述語句的處理方法一致;

(3)當(dāng)被調(diào)用輸入、輸出或雙向端口時(shí),任務(wù)調(diào)用語句必須包含端口名列表,且信號(hào)端口順序和類型必須和任務(wù)定義結(jié)構(gòu)中的順序和類型一致。需要說明的是,任務(wù)的輸出端口必須和寄存器類型的數(shù)據(jù)變量對(duì)應(yīng)。

(4)可綜合任務(wù)只能實(shí)現(xiàn)組合邏輯,也就是說調(diào)用可綜合任務(wù)的時(shí)間為“0” 。而在面向仿真的任務(wù)中可以帶有時(shí)序控制,如時(shí)延,因此面向仿真的任務(wù)的調(diào)用時(shí)間不為“0” 。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5250

    瀏覽量

    119195
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109712
  • 全加器
    +關(guān)注

    關(guān)注

    10

    文章

    59

    瀏覽量

    28341
  • VerilogHDL
    +關(guān)注

    關(guān)注

    2

    文章

    39

    瀏覽量

    19042
  • ADD
    ADD
    +關(guān)注

    關(guān)注

    1

    文章

    20

    瀏覽量

    9361
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    verilog關(guān)于task的調(diào)用問題

    在夏宇聞老師的教程里,關(guān)于EEPROM仿真的代碼,EEPROM_WR有調(diào)用shift8_out,shift8_in等任務(wù), 這些任務(wù)都是以狀態(tài)機(jī)來實(shí)現(xiàn)的。請(qǐng)問這些任務(wù)在調(diào)用時(shí)開始執(zhí)行,那么什么時(shí)候
    發(fā)表于 03-03 11:22

    轉(zhuǎn)載---verilogtask和function的區(qū)別

    ,能計(jì)算多個(gè)結(jié)果值,結(jié) 函數(shù)通過一個(gè)返回一個(gè)值來響應(yīng)輸入信號(hào)的值。果值只能通過被調(diào)用的任務(wù)的輸出端口輸出或函數(shù)不能有wire型變量??偩€端口送出;任務(wù)定義語法:task ;……endtask函數(shù)定義
    發(fā)表于 03-13 21:53

    veriloggenerate語句的用法分享

    generate為verilog的生成語句,當(dāng)對(duì)矢量的多個(gè)位進(jìn)行重復(fù)操作時(shí),或者當(dāng)進(jìn)行多個(gè)模塊的實(shí)例引用的重復(fù)操作時(shí),或者根據(jù)參數(shù)的定義來確定程序是否應(yīng)該包含某段
    發(fā)表于 12-23 16:59

    Verilog-HDL實(shí)踐與應(yīng)用系統(tǒng)設(shè)計(jì)

    Verilog-HDL實(shí)踐與應(yīng)用系統(tǒng)設(shè)計(jì)本書從實(shí)用的角度介紹了硬件描述語言Verilog-HDL。通過動(dòng)手實(shí)踐,體驗(yàn)Verilog-HDL的語法結(jié)構(gòu)、功能等內(nèi)涵。在前五章,以簡單的實(shí)例
    發(fā)表于 11-14 22:57 ?147次下載
    <b class='flag-5'>Verilog</b>-HDL實(shí)踐與應(yīng)用系統(tǒng)設(shè)計(jì)

    常用燈頭規(guī)格及用法介紹

    常用燈頭規(guī)格及用法介紹 簡述常用燈頭規(guī)格及用法介紹
    發(fā)表于 04-19 15:40 ?56次下載

    MSF及Unicorn的介紹用法

    MSF及Unicorn的介紹用法
    發(fā)表于 09-07 15:09 ?3次下載
    MSF及Unicorn的<b class='flag-5'>介紹</b>及<b class='flag-5'>用法</b>

    簡談FPGA verilogtask用法

    ????????大家好,又到了每日學(xué)習(xí)的時(shí)間了,今天我們來聊一聊FPGA verilogtask用法。 ? ? ? ?任務(wù)就是一段封裝在“tas
    的頭像 發(fā)表于 08-09 18:59 ?4.1w次閱讀

    簡談FPGA verilog的function用法與例子

    大家好,又到了每日學(xué)習(xí)的時(shí)間了,今天我們來聊一聊FPGA verilog的function用法與例子。 函數(shù)的功能和任務(wù)的功能類似,但二者還存在很大的不同。在 Verilog HDL
    的頭像 發(fā)表于 08-10 13:42 ?1.9w次閱讀
    簡談FPGA <b class='flag-5'>verilog</b><b class='flag-5'>中</b>的function<b class='flag-5'>用法</b>與例子

    verilog的initial語句說明

    解釋verilog HDL的initial語句的用法。
    發(fā)表于 05-31 09:11 ?0次下載

    RTA OS系列介紹01-Task

    AUTOSAR OS主要包含Task, ISRs, Events, Resources, Application, Counter, Alarms, Schedule Table等OS對(duì)象。后續(xù)將對(duì)如上提到的八個(gè)對(duì)象進(jìn)行分別介紹,本篇
    的頭像 發(fā)表于 12-21 14:13 ?1916次閱讀

    verilogtask用法

    任務(wù)就是一段封裝在“task-endtask”之間的程序。任務(wù)是通過調(diào)用來執(zhí)行的,而且只有在調(diào)用時(shí)才執(zhí)行,如果定義了任務(wù),但是在整個(gè)過程中都沒有調(diào)用它,那么這個(gè)任務(wù)是不會(huì)執(zhí)行的。調(diào)用某個(gè)任務(wù)時(shí)可能
    的頭像 發(fā)表于 03-23 15:13 ?1089次閱讀

    verilogfunction和task的區(qū)別

    Verilog,F(xiàn)unction和Task是用于模塊化設(shè)計(jì)和重用代碼的兩種重要元素。它們允許開發(fā)人員將復(fù)雜的操作分解為更小的功能單元,并在需要時(shí)調(diào)用它們。雖然Function和Task
    的頭像 發(fā)表于 02-22 15:40 ?1321次閱讀

    verilog function函數(shù)的用法

    Verilog 中被廣泛用于對(duì)電路進(jìn)行模塊化設(shè)計(jì),以簡化和組織代碼。 本文將詳細(xì)介紹 Verilog 函數(shù)的用法,并探討函數(shù)在硬件設(shè)計(jì)
    的頭像 發(fā)表于 02-22 15:49 ?3918次閱讀

    verilog task和function區(qū)別

    verilogtask和function都是用于實(shí)現(xiàn)模塊的可重復(fù)的功能,并且可以接收參數(shù)和返回結(jié)果。但是它們在編寫和使用上有一些區(qū)別。下面將詳細(xì)
    的頭像 發(fā)表于 02-22 15:53 ?719次閱讀

    verilog inout用法與仿真

    Verilog語言是一種硬件描述語言(HDL),用于描述數(shù)字邏輯電路和系統(tǒng)。它是一種非常強(qiáng)大且廣泛使用的語言,在數(shù)字電路設(shè)計(jì)扮演著重要的角色。其中, inout 是Verilog
    的頭像 發(fā)表于 02-23 10:15 ?1955次閱讀