0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

怎么設(shè)計(jì)一個(gè)Glitch Free的時(shí)鐘切換電路呢?

冬至子 ? 來(lái)源: Andy的ICer之路 ? 作者:AndyICer ? 2023-06-05 17:23 ? 次閱讀

輸入sel,clka,clkb,sel為1輸出clka,sel為0輸出clkb。

一、兩個(gè)時(shí)鐘源是倍數(shù)的關(guān)系

圖片

module Change_Clk_Source(
  input clk1,
  input clk0,
  input select,
  input rst_n,
  output outclk
);
  reg out1;
  reg out0;

  always @(negedge clk1 or negedge rst_n)begin
    if(rst_n == 1'b0)
      out1 <= 0;
    else
      out1 <= ~out0 & select;
  end

  always @(negedge clk0 or negedge rst_n)begin
    if(rst_n == 1'b0)
      out0 <= 0;
    else
      out0 <= ~select & ~out1;
  end

   assign outclk = (out1 & clk1) | (out0 & clk0);


endmodule

二、兩個(gè)時(shí)鐘源為異步時(shí)鐘的關(guān)系

圖片

module Change_Clk_Source(
  input clk1,
  input clk0,
  input select,
  input rst_n,
  output outclk
);
  reg out_r1;
  reg out1;
  reg out_r0;
  reg out0;


  always @(posedge clk1 or negedge rst_n)begin
    if(rst_n == 1'b0)
      out_r1 <= 0;
    else 
      out_r1 <= ~out0 & select;
  end


  always @(negedge clk1 or negedge rst_n)begin
    if(rst_n == 1'b0)
      out1 <= 0;
    else 
      out1 <= out_r1;
  end


  always @(posedge clk0 or negedge rst_n)begin
    if(rst_n == 1'b0)
      out_r0 <= 0;
    else 
      out_r0 <= ~select & ~out1;
  end

  always @(negedge clk0 or negedge rst_n)begin
    if(rst_n == 1'b0)
      out0 <= 0;
    else 
      out0 <= out_r0;
  end

  assign outclk = (out1 & clk1) | (out0 & clk0);




endmodule
聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 時(shí)鐘源
    +關(guān)注

    關(guān)注

    0

    文章

    92

    瀏覽量

    15894
  • CLK
    CLK
    +關(guān)注

    關(guān)注

    0

    文章

    125

    瀏覽量

    17051
  • 異步時(shí)鐘
    +關(guān)注

    關(guān)注

    0

    文章

    17

    瀏覽量

    9394
  • 時(shí)鐘切換電路
    +關(guān)注

    關(guān)注

    0

    文章

    7

    瀏覽量

    5756
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    異步時(shí)鐘切換電路

    異步時(shí)鐘切換電路
    發(fā)表于 05-08 09:40 ?5807次閱讀
    異步<b class='flag-5'>時(shí)鐘</b><b class='flag-5'>切換</b><b class='flag-5'>電路</b>

    時(shí)鐘設(shè)計(jì)中時(shí)鐘切換電路設(shè)計(jì)案例

    在多時(shí)鐘設(shè)計(jì)中可能需要進(jìn)行時(shí)鐘切換。由于時(shí)鐘之間可能存在相位、頻率等差異,直接切換時(shí)鐘可能導(dǎo)致
    的頭像 發(fā)表于 09-24 11:20 ?5553次閱讀
    多<b class='flag-5'>時(shí)鐘</b>設(shè)計(jì)中<b class='flag-5'>時(shí)鐘</b><b class='flag-5'>切換</b><b class='flag-5'>電路</b>設(shè)計(jì)案例

    圖解時(shí)鐘切換電路使用方法

    mux啊,可事實(shí)真的如此嗎? 如上圖,大家會(huì)很自然而想到的時(shí)鐘切換電路,可是,你仔細(xì)分析,你會(huì)發(fā)現(xiàn)該電路會(huì)出現(xiàn)如下的問(wèn)題,毛刺!這個(gè)毛刺
    的頭像 發(fā)表于 01-16 11:22 ?2308次閱讀
    圖解<b class='flag-5'>時(shí)鐘</b><b class='flag-5'>切換</b><b class='flag-5'>電路</b>使用方法

    數(shù)字電路時(shí)鐘切換電路解析

    以上是個(gè)比較經(jīng)典的時(shí)鐘切換電路。 根據(jù)實(shí)際使用場(chǎng)景的不同,時(shí)鐘
    的頭像 發(fā)表于 02-18 18:22 ?2640次閱讀
    數(shù)字<b class='flag-5'>電路</b>之<b class='flag-5'>時(shí)鐘</b><b class='flag-5'>切換</b><b class='flag-5'>電路</b>解析

    FPGA的時(shí)鐘門(mén)控是好還是壞?

    喜我對(duì)時(shí)鐘門(mén)控有些疑問(wèn)。從我所讀到/學(xué)到的東西 - 時(shí)鐘門(mén)控可用于低功率fpga設(shè)計(jì)(關(guān)閉時(shí)鐘以防止元件切換以節(jié)省功率)。但是,我還讀到
    發(fā)表于 02-21 10:21

    時(shí)鐘切換時(shí)容易出現(xiàn)的問(wèn)題匯總

    最近看秋招面試題,這玩意兒考了很多次,所以單獨(dú)拿出來(lái)寫(xiě)下: 題目的含義很簡(jiǎn)單就是個(gè)時(shí)鐘切換電路
    發(fā)表于 01-18 08:44

    毛刺在時(shí)鐘切換電路的影響及其防止措施的介紹

    對(duì)于個(gè)時(shí)鐘切換電路,輸入兩個(gè)異步時(shí)鐘 clk0、c
    發(fā)表于 09-29 16:36 ?9次下載
    毛刺在<b class='flag-5'>時(shí)鐘</b><b class='flag-5'>切換</b><b class='flag-5'>電路</b>的影響及其防止措施的介紹

    比特翻轉(zhuǎn)現(xiàn)象:GLitch是第一個(gè)利用JavaScript入侵智能手機(jī)

    的比特。 GLitch 也是第一個(gè)利用標(biāo)準(zhǔn) JavaScript 入侵智能手機(jī)的 Rowhammer 攻擊,意味著只需要用戶訪問(wèn)個(gè)惡意之后就能能利用漏洞遠(yuǎn)程執(zhí)行代碼。
    的頭像 發(fā)表于 05-17 03:14 ?8758次閱讀
    比特翻轉(zhuǎn)現(xiàn)象:<b class='flag-5'>GLitch</b>是第<b class='flag-5'>一個(gè)</b>利用JavaScript入侵智能手機(jī)

    個(gè)時(shí)鐘異步切換原理圖

    首先肯定是在本時(shí)鐘域內(nèi)的clk_en會(huì)先變低(invalid),之后才會(huì)使得另外時(shí)鐘域內(nèi)的clk_en變高(valid),這時(shí)另外個(gè)時(shí)鐘
    的頭像 發(fā)表于 11-10 15:06 ?1993次閱讀

    基本時(shí)鐘切換術(shù)語(yǔ)和標(biāo)準(zhǔn)輸入時(shí)鐘切換配置資料下載

    電子發(fā)燒友網(wǎng)為你提供基本時(shí)鐘切換術(shù)語(yǔ)和標(biāo)準(zhǔn)輸入時(shí)鐘切換配置資料下載的電子資料下載,更有其他相關(guān)的電路圖、源代碼、課件教程、中文資料、英文資料
    發(fā)表于 04-05 08:40 ?7次下載
    基本<b class='flag-5'>時(shí)鐘</b><b class='flag-5'>切換</b>術(shù)語(yǔ)和標(biāo)準(zhǔn)輸入<b class='flag-5'>時(shí)鐘</b><b class='flag-5'>切換</b>配置資料下載

    HMC305S:Glitch Free.5 db-5位Serical Service Serical Services Services Attrator,0.4-7.0 GHz數(shù)據(jù)Sheet

    HMC305S:Glitch Free.5 db-5位Serical Service Serical Services Services Attrator,0.4-7.0 GHz數(shù)據(jù)Sheet
    發(fā)表于 05-17 14:30 ?0次下載
    HMC305S:<b class='flag-5'>Glitch</b> <b class='flag-5'>Free</b>.5 db-5位Serical Service Serical Services Services Attrator,0.4-7.0 GHz數(shù)據(jù)Sheet

    時(shí)鐘切換電路設(shè)計(jì)方案

    隨著各種應(yīng)用場(chǎng)景的限制,芯片在運(yùn)行時(shí)往往需要在不同的應(yīng)用下切換不同的時(shí)鐘源,例如低功耗和高性能模式就分別需要低頻率和高頻率的時(shí)鐘。兩個(gè)時(shí)鐘
    的頭像 發(fā)表于 03-29 11:41 ?1329次閱讀
    <b class='flag-5'>時(shí)鐘</b><b class='flag-5'>切換</b><b class='flag-5'>電路</b>設(shè)計(jì)方案

    FPGA為什么有時(shí)候還需要個(gè)時(shí)鐘配置芯片提供時(shí)鐘

    FPGA為什么有時(shí)候還需要個(gè)時(shí)鐘配置芯片提供時(shí)鐘? FPGA(Field Programmable Gate Array)是
    的頭像 發(fā)表于 10-25 15:14 ?1502次閱讀

    如何實(shí)現(xiàn)分頻時(shí)鐘切換

    其實(shí)這個(gè)分頻時(shí)鐘切換很簡(jiǎn)單,根本不需要額外的切換電路個(gè)共用的計(jì)數(shù)器,加
    的頭像 發(fā)表于 12-14 15:28 ?635次閱讀
    如何實(shí)現(xiàn)分頻<b class='flag-5'>時(shí)鐘</b>的<b class='flag-5'>切換</b>

    什么是時(shí)鐘信號(hào)?數(shù)字電路時(shí)鐘信號(hào)是怎么產(chǎn)生?

    什么是時(shí)鐘信號(hào)?數(shù)字電路時(shí)鐘信號(hào)是怎么產(chǎn)生時(shí)鐘信號(hào),也稱為時(shí)鐘脈沖,是用于同步數(shù)字
    的頭像 發(fā)表于 01-25 15:40 ?7726次閱讀