0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

UVM里的6個常見參數(shù)介紹分析

FPGA學(xué)姐 ? 來源:FPGA學(xué)姐 ? 2023-06-06 12:33 ? 次閱讀

1、指定測試用例(一般一個用例就是一個類派生于uvm_test_base)

 +UVM_TESTNAME=
例如 +UVM_TESTNAME=my_case0

2、設(shè)置打印(`uvm_info)在命令行中設(shè)置冗余度閾值

 +UVM_VERBOSITY=
例如:
 +UVM_VERBOSITY=UVM_HIGH

UVM預(yù)先定義了六個詳細(xì)程度; UVM_NONE到UVM_DEBUG。這些級別只不過是整數(shù)枚舉值

098102d6-0421-11ee-90ce-dac502259ad0.png

如果我們不指定任何冗余度閾值,則UVM將默認(rèn)使用UVM_MEDIUM。這意味著將打印所有帶有UVM_NONE,UVM_LOW和UVM_MEDIUM的消息,但是帶有UVM_HIGH,UVM_FULL和UVM_DEBUG的消息不會。

set_report_verbosity_level會對某個component內(nèi)所有的uvm_info宏顯示的信息產(chǎn)生影響。

env.i_agt.set_report_verbosity_level_hier(UVM_HIGH);

UVM_ERROR到達一定數(shù)量結(jié)束仿真

當(dāng)uvm_fatal出現(xiàn)時,表示出現(xiàn)了致命錯誤,仿真會馬上停止。UVM同樣支持UVM_ ERROR達到一定數(shù)量時結(jié)束仿真。例,如果出現(xiàn)了大量的UVM_ERROR,根據(jù)這些錯誤已經(jīng)可以確定bug所在了,再繼續(xù)仿真下去意義已經(jīng)不大,此時就可以結(jié)束仿真,而不必等到所有的objection被撤銷。(在base_test里設(shè)置error數(shù)量)

文件:src/ch3/section3.4/3.4.3/base_test.sv
21 function void base_test::build_phase(uvm_phase phase);
22   super.build_phase(phase);
23   env  =  my_env::type_id::create("env", this);
24   set_report_max_quit_count(5);
25 endfunction

3、除了在代碼中使用set_max_quit_count設(shè)置外,還可以在命令行中設(shè)置退出閾值

+UVM_MAX_QUIT_COUNT=6,NO

4、設(shè)置全局的超時時間

 +UVM_TIMEOUT=,~
例如
 +UVM_TIMEOUT="300ns, YES"

5、根據(jù)嚴(yán)重性設(shè)置不同的日志文件

env.i_agt.set_report_severity_file_hier(UVM_INFO,    info_log);
env.i_agt.set_report_severity_file_hier(UVM_WARNING, warning_log);
env.i_agt.set_report_severity_file_hier(UVM_ERROR,   error_log);
env.i_agt.set_report_severity_file_hier(UVM_FATAL,   fatal_log);
env.i_agt.set_report_severity_action_hier(UVM_INFO, UVM_DISPLAY| UVM_LOG);
env.i_agt.set_report_severity_action_hier(UVM_WARNING, UVM_DISPLAY| UVM_LOG);
env.i_agt.set_report_severity_action_hier(UVM_ERROR, UVM_DISPLAY| UVM_COUNT|UVM_LOG);
env.i_agt.set_report_severity_action_hier(UVM_FATAL, UVM_DISPLAY| UVM_EXIT | UVM_LOG);

編輯:黃飛

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • UVM
    UVM
    +關(guān)注

    關(guān)注

    0

    文章

    181

    瀏覽量

    19086

原文標(biāo)題:UVM里的常見參數(shù)

文章出處:【微信號:FPGA學(xué)姐,微信公眾號:FPGA學(xué)姐】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    IC驗證"一簡單的UVM驗證平臺"是如何搭建的(六)

    uvm_driver等類名。 第24和25行定義一my_driver的實例并將其實例化。注意這里調(diào)用new函數(shù)時,其傳入的名字參數(shù)為drv,前文介紹
    發(fā)表于 12-04 15:48

    數(shù)字IC驗證之“什么是UVM”“UVM的特點”“UVM提供哪些資源”(2)連載中...

    原文鏈接:https://zhuanlan.zhihu.com/p/345775995大家好,我是一哥,上章內(nèi)容主要講述兩內(nèi)容,芯片驗證以及驗證計劃。那本章我們主要講述的內(nèi)容有介紹什么是uvm
    發(fā)表于 01-21 16:00

    數(shù)字IC驗證之“典型的UVM平臺結(jié)構(gòu)”(3)連載中...

      大家好,我是一哥,上章內(nèi)容我們介紹什么是uvmuvm的特點以及uvm為用戶提供了哪些資源?  本章內(nèi)容我們來看一看一典型的
    發(fā)表于 01-22 15:32

    數(shù)字IC驗證之“搭建一可以運行的uvm測試平臺”(5)連載中...

      大家好,我是一哥,上章介紹了構(gòu)成uvm測試平臺的主要組件?最后,我們將一典型的uvm平臺進行最簡化,從本章我們開始搭建一可以運行的
    發(fā)表于 01-26 10:05

    什么是uvmuvm的特點有哪些呢

    大家好,我是一哥,上章內(nèi)容我們介紹什么是uvmuvm的特點以及uvm為用戶提供了哪些資源?本章內(nèi)容我們來看一看一典型的
    發(fā)表于 02-14 06:46

    談?wù)?b class='flag-5'>UVM中的uvm_info打印

    \_file, \`uvm\_line)。當(dāng)uvm\_report\_enabled(xxx)函數(shù)返回為1時,才會執(zhí)行uvm\_report\_info(xxx)?! ?b class='flag-5'>參數(shù)和變量
    發(fā)表于 03-17 16:41

    UVM中seq.start()和default_sequence執(zhí)行順序

    下src/base/uvm_task_phase.svh文件。為方便分析,我截圖如下。在uvm_task_phase類execute(xxx)函數(shù)的第148行和150行確定了seq1
    發(fā)表于 04-04 17:15

    我的第一UVM代碼——Hello world

    Ctrl-V!) 幾點說明: 為了使用UVM庫里的函數(shù)和宏定義,需要先import uvm_pkg和include uvm_macros。 在testbench的頂層(module tb)
    發(fā)表于 11-03 10:18

    UVM1.1的應(yīng)用指南及源代碼分析資料概述

    本章第一節(jié)將大體介紹一下感性意義上的UVM,這里不會講的很詳細(xì),因為關(guān)于UVM的歷史在網(wǎng)上已經(jīng)有太多的資料第二節(jié)講述驗證平臺的組成,這里也只是介紹
    發(fā)表于 07-01 08:00 ?70次下載
    <b class='flag-5'>UVM</b>1.1的應(yīng)用指南及源代碼<b class='flag-5'>分析</b>資料概述

    數(shù)字IC驗證之“典型的UVM平臺結(jié)構(gòu)”(3)連載中...

    大家好,我是一哥,上章內(nèi)容我們介紹什么是uvmuvm的特點以及uvm為用戶提供了哪些資源?本章內(nèi)容我們來看一看一典型的
    發(fā)表于 12-09 13:36 ?7次下載
    數(shù)字IC驗證之“典型的<b class='flag-5'>UVM</b>平臺結(jié)構(gòu)”(3)連載中...

    UVM TLM的基本概念介紹

    UVM中,transaction 是一類對象,它包含了建模兩驗證組件之間的通信所需的任何信息。
    的頭像 發(fā)表于 05-24 09:17 ?1533次閱讀
    <b class='flag-5'>UVM</b> TLM的基本概念<b class='flag-5'>介紹</b>

    我的第二UVM代碼—連接interface

    寫testbench最最核心的部分就是發(fā)激勵,今天用一簡單的示例來介紹如何在uvm給RTL發(fā)激勵。
    的頭像 發(fā)表于 06-15 10:51 ?1382次閱讀
    我的第二<b class='flag-5'>個</b><b class='flag-5'>UVM</b>代碼—連接interface

    UVMuvm_config_db機制背后的大功臣

    本次講一下UVM中的uvm_config_db,在UVM中提供了一內(nèi)部數(shù)據(jù)庫,可以在其中存儲給定名稱下的值,之后可以由其它TB組件去檢索。
    的頭像 發(fā)表于 06-20 17:28 ?1154次閱讀

    一文詳解UVM設(shè)計模式

    本篇是對UVM設(shè)計模式 ( 二 ) 參數(shù)化類、靜態(tài)變量/方法/類、單例模式、UVM_ROOT、工廠模式、UVM_FACTORY[1]中單例模式的補充,
    的頭像 發(fā)表于 08-06 10:38 ?1356次閱讀
    一文詳解<b class='flag-5'>UVM</b>設(shè)計模式

    工業(yè)鏡頭常見參數(shù)應(yīng)用介紹

    機器視覺入門必備,工業(yè)鏡頭的常見參數(shù)名詞介紹。
    發(fā)表于 12-04 10:09 ?6次下載