0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

可重用的驗證組件中構(gòu)建測試平臺的步驟

芯片驗證工程師 ? 來源:芯片驗證工程師 ? 2023-06-13 09:14 ? 次閱讀

本文介紹了從一組可重用的驗證組件中構(gòu)建測試平臺所需的步驟。UVM促進了重用,加速了測試平臺構(gòu)建的過程。

首先對測試平臺集成者(testbench integrator)測試編寫者(test writer )進行區(qū)分,前者負(fù)責(zé)測試平臺的構(gòu)建和配置,后者可能對測試平臺的底層了解較少,但用它來創(chuàng)建測試用例。

基于驗證組件創(chuàng)建測試平臺的步驟是:

Review可重用的驗證組件配置參數(shù)。

實例化和配置驗證組件。

接口驗證組件創(chuàng)建可重用的sequences(可選)。

添加一個virtual sequencer(可選)。

增加checking和functional coverage。

創(chuàng)建測試用例以實現(xiàn)覆蓋率目標(biāo)。

創(chuàng)建Top-Level Environment

Top-Level Environment是一個容器,它定義了可重用驗證組件的拓?fù)浣Y(jié)構(gòu),實例化并配置可重用的驗證IP,并根據(jù)需要定義該IP的默認(rèn)配置。不同的測試用例可以實例化并重新配置Top-LevelEnvironment類,以更好地實現(xiàn)其測試目的。

a7c509d0-097e-11ee-962d-dac502259ad0.png

實例化驗證組件

a80c937c-097e-11ee-962d-dac502259ad0.png

不同的測試用例可以實例化上面的top-level environment 類,并根據(jù)需要對其進行配置,而不需要了解它被創(chuàng)建和配置的所有細節(jié)。

我們不是在ubus_example_env的new()構(gòu)造函數(shù),而是在內(nèi)置的UVM phase中創(chuàng)建驗證子組件。

uvm_config_db::set 調(diào)用指 定masters和slaves的數(shù)量都應(yīng)該是1。這些配置 在 ubus0 build_phase()中被使用,定義ubus0環(huán)境的拓 撲結(jié)構(gòu)。

super.build_phase()作為ubus_example_env’s build()的第一行調(diào)用,如果使用了UVM字段自動化宏(UVM field automation macros,這將更新ubus_example_tb的配置字段。

connect_phase()是一個內(nèi)置的UVM phase,用于在slave monitor 和scoreboard之間建立連接。slave monitor包含一個TLM analysis port,它與scoreboard上的TLM analysis export 相連。在build_phase()和connect_phase()函數(shù)完成后,用戶可以對一些配置進一步進行調(diào)整。

創(chuàng)建testcase

uvm_test類定義了測試場景(test scenario),涉及到驗證環(huán)境的配置。盡管驗證環(huán)境開發(fā)者提供了驗證環(huán)境拓?fù)浜团渲脤傩缘哪J(rèn)值,測試用例開發(fā)者可以使用UVM類庫提供的配置覆蓋機制進行覆蓋。UVM中的測試用例是由uvm_test類派生的類,通常定義一個基礎(chǔ)test case類,實例化并配置Top-Level Environment,然后被擴展以定義特定場景。
責(zé)任編輯:彭菁

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

原文標(biāo)題:驗證環(huán)境的創(chuàng)建

文章出處:【微信號:芯片驗證工程師,微信公眾號:芯片驗證工程師】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    UVVM(通用 VHDL 驗證方法)

    UVVM(通用 VHDL 驗證方法) 簡介? UVVM(通用 VHDL 驗證方法)是一種免費的開源方法和庫,用于開發(fā)非常結(jié)構(gòu)化的基于 VHDL 的測試平臺。 概述、可讀性、可維護性、
    發(fā)表于 01-02 12:59

    重用機床編碼技術(shù)及重構(gòu)算法研究

    重用機床的組成模塊特征,進而將可重用機床的模塊編碼系統(tǒng)分為模塊主碼、模塊接口特征碼和模塊圖紙管理碼三部分組成。通過計算總相似系數(shù)得到與目標(biāo)模塊最接近的模塊,給出了重用機床模塊選擇算法
    發(fā)表于 05-17 11:58

    戶外光伏組件戶外測試平臺設(shè)計

    ,因此設(shè)計了額定負(fù)載300W 的自動切換工作模式的可編程電子負(fù)載,并作為組件測試過程中的負(fù)載,將測試過程中組件輸出功率以熱能的形式持續(xù)耗散
    發(fā)表于 09-30 16:16

    數(shù)字IC驗證之“典型的UVM平臺結(jié)構(gòu)”(3)連載...

    應(yīng)用的過程,將uvm的組件封裝起來,可以將這些封裝的組件呢作為一個整體進行重用,在進行芯片級或者是系統(tǒng)級驗證的時候,往往會出現(xiàn)多個模塊的
    發(fā)表于 01-22 15:32

    數(shù)字IC驗證之“構(gòu)成uvm測試平臺的主要組件”(4)連載...

    測試案例完成的。因此在構(gòu)建env的時候,需要事先定義好配置接口,是env具有可配置性。  uvm不僅為驗證工程師提供了測試
    發(fā)表于 01-22 15:33

    怎么構(gòu)建一種基于FPGA的NoC驗證平臺?

    本文提出了一種基于FPGA的NoC驗證平臺。詳細討論了該驗證平臺中FPGA硬件平臺和NoC軟件的基本功能,并闡述了TG/R,MPU,MPI以
    發(fā)表于 05-06 07:20

    驗證方法簡介

    。 OVM 是一個開源 SystemVerilog 類庫和方法,它定義了重用驗證 IP (VIP) 和測試的框架。它是 100% IEEE 1800SystemVerilog,并提供
    發(fā)表于 02-13 17:03

    求助,重用組件的推薦目錄結(jié)構(gòu)是什么?

    我們目前正在將一些我們經(jīng)常使用的樣板代碼組織到 idf 組件以促進重用。網(wǎng)絡(luò)設(shè)置樣板、傳感器驅(qū)動程序、ota 設(shè)備配置的基本基礎(chǔ)設(shè)施等功能。由 idf.py create_component 生成
    發(fā)表于 04-13 08:08

    基于FPGA的NoC驗證平臺構(gòu)建

    針對基于軟件仿真片上網(wǎng)絡(luò)NoC(Network on Chip)效率低的問題,提出基于FPGA的NoC驗證平臺構(gòu)建方案。該平臺集成
    發(fā)表于 01-04 16:24 ?12次下載

    測性DSP軟硬件協(xié)同仿真驗證平臺設(shè)計

    針對數(shù)字信號處理器的不同仿真和驗證要求,提出了一種測性軟硬件協(xié)同仿真和驗證平臺的設(shè)計. 采用可配置IP 模塊和總線結(jié)構(gòu),實現(xiàn)了硬件平臺可配
    發(fā)表于 06-09 17:54 ?39次下載
    <b class='flag-5'>可</b>測性DSP軟硬件協(xié)同仿真<b class='flag-5'>驗證</b><b class='flag-5'>平臺</b>設(shè)計

    基于重用激勵發(fā)生機制的虛擬SoC驗證平臺

    在系統(tǒng)芯片的設(shè)計,傳統(tǒng)的激勵發(fā)生機制耗費人工多且難以重用,嚴(yán)重影響了仿真驗證的效率。針對此問題,構(gòu)建了一種基于
    發(fā)表于 11-28 17:43 ?0次下載
    基于<b class='flag-5'>可</b><b class='flag-5'>重用</b>激勵發(fā)生機制的虛擬SoC<b class='flag-5'>驗證</b><b class='flag-5'>平臺</b>

    基于System Verilog的重用驗證平臺設(shè)計及驗證結(jié)果分析

    采用System Verilog語言設(shè)計了一種具有層次化結(jié)構(gòu)的重用驗證平臺,該平臺能夠產(chǎn)生各種隨機、定向、錯誤
    發(fā)表于 01-12 11:28 ?2566次閱讀
    基于System Verilog的<b class='flag-5'>可</b><b class='flag-5'>重用</b><b class='flag-5'>驗證</b><b class='flag-5'>平臺</b>設(shè)計及<b class='flag-5'>驗證</b>結(jié)果分析

    基于VMM構(gòu)建驗證平臺在AXI總線協(xié)議SoC的應(yīng)用研究

    本文以軟件工程的視角切入,分析中科院計算所某片上系統(tǒng)(SoC)項目的驗證平臺,同時也介紹當(dāng)前較為流行的驗證方法,即以專門的驗汪語言結(jié)合商用的驗證模型,快速建立
    發(fā)表于 04-10 09:23 ?1360次閱讀
    基于VMM<b class='flag-5'>構(gòu)建</b>的<b class='flag-5'>驗證</b><b class='flag-5'>平臺</b>在AXI總線協(xié)議SoC<b class='flag-5'>中</b>的應(yīng)用研究

    ASIC芯片設(shè)計之UVM驗證

    百度百科對UVM的釋義如下:通用驗證方法學(xué)(Universal Verification Methodology, UVM)是一個以SystemVerilog類庫為主體的驗證平臺開發(fā)框架,驗證
    發(fā)表于 11-30 12:47 ?1376次閱讀

    介紹從一組重用驗證組件構(gòu)建測試平臺所需的步驟

    本文介紹了從一組重用驗證組件構(gòu)建測試
    的頭像 發(fā)表于 06-13 09:11 ?401次閱讀
    介紹從一組<b class='flag-5'>可</b><b class='flag-5'>重用</b>的<b class='flag-5'>驗證</b><b class='flag-5'>組件</b><b class='flag-5'>中</b><b class='flag-5'>構(gòu)建</b><b class='flag-5'>測試</b><b class='flag-5'>平臺</b>所需的<b class='flag-5'>步驟</b>