0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

優(yōu)秀的Verilog/FPGA開源項(xiàng)目-MATH庫(kù)介紹

OpenFPGA ? 來(lái)源:OpenFPGA ? 2023-06-19 09:06 ? 次閱讀

DSP介紹

數(shù)字信號(hào)處理( Digital Signal Processing)技術(shù)廣泛地應(yīng)用于通信信息系統(tǒng)、信號(hào)與信息處理、自動(dòng)控制、 雷達(dá)、軍事、航空航天、醫(yī)療、家用電器等許多領(lǐng)域。DSP 技術(shù)可以快速地對(duì)采集的信號(hào)進(jìn)行量化、變換、濾波、估值 、增強(qiáng)、壓縮、識(shí)別等處理,以得到符合需要的信號(hào)形式。而用FPGA進(jìn)行數(shù)字信號(hào)處理的核心就是數(shù)學(xué)運(yùn)算,今天介紹幾個(gè)和FPGA進(jìn)行數(shù)學(xué)運(yùn)算的相關(guān)開源項(xiàng)目。

介紹

Verilog定點(diǎn)數(shù)學(xué)庫(kù)

該庫(kù)包括Verilog的基本數(shù)學(xué)函數(shù),用于FPGA上的實(shí)現(xiàn)(Xilinx FPGA仿真和綜合)。

這些數(shù)學(xué)例程使用帶符號(hào)的數(shù)字N(Q)格式,其中N是總位數(shù),Q是所使用的小數(shù)位數(shù)。例如,

15、32將表示具有15個(gè)小數(shù)位數(shù)、16個(gè)整數(shù)位數(shù)數(shù),以及1個(gè)符號(hào)位,如下所示:

|1|||
|S|IIIIIIIIIIIIIIII|FFFFFFFFFFFFFFF|

此庫(kù)包含以下模塊:

qadd.v——加法模塊;將任意的2個(gè)有符號(hào)數(shù)字相加。

qdiv.v-除法模塊;

qmult.v——乘法模塊;純組合電路實(shí)現(xiàn)

qmults.v——乘法模塊;時(shí)序電路實(shí)現(xiàn)

Test_add.v-qadd.v模塊的測(cè)試模塊

Test_mult.v-qmult.v模塊的測(cè)試模塊

TestDiv.v-qdiv.v模塊的測(cè)試模塊

TestMultS.v-qmults.v模塊的測(cè)試模塊

這些數(shù)學(xué)計(jì)算默認(rèn)為(15,32)的(Q,N),但可以自定義。

qaddmy_adder(
.a(addend_a),
.b(addend_b),
.c(result)
);

自定義N(Q):

qadd#(8,23)my_adder(
.a(addend_a),
.b(addend_b),
.c(result)
);

CORDIC

CORDIC算法是一種迭代算法,用于計(jì)算數(shù)學(xué)函數(shù),如三角函數(shù)、雙曲函數(shù)和平面旋轉(zhuǎn)。

介紹

顧名思義,CORDIC算法是為旋轉(zhuǎn)坐標(biāo)而開發(fā)的,這是20世紀(jì)50年代用于實(shí)時(shí)導(dǎo)航計(jì)算的硬件。CORDIC使用類似序列的逐次逼近來(lái)獲得其結(jié)果。優(yōu)點(diǎn)就是它只通過(guò)加法/減法和移位來(lái)實(shí)現(xiàn)運(yùn)算這一點(diǎn)。假設(shè)我們想將一個(gè)點(diǎn)(X,Y)旋轉(zhuǎn)一個(gè)角度(Z)。新點(diǎn)(Xnew,Ynew)的坐標(biāo)為:

Xnew=Xcos(Z)-Ysin(Z)Ynew=Ycos(Z)+Xsin

或者:

Xnew/cos(Z)=X-Y*tan(Z)Ynew/cos

可以將角度分成小塊,這樣這些小塊的切線總是2的冪。導(dǎo)出以下方程式:

X(n+1) = P(n) * ( X(n) - Y(n) / 2^n) Y(n+1) = P(n) * ( Y(n) + X(n) / 2^n) Z(n) = atan(1/2^n)

...

不詳細(xì)介紹了。。。






審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • dsp
    dsp
    +關(guān)注

    關(guān)注

    551

    文章

    7824

    瀏覽量

    346828
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598914
  • 數(shù)字信號(hào)處理器

    關(guān)注

    5

    文章

    428

    瀏覽量

    27282
  • Verilog語(yǔ)言
    +關(guān)注

    關(guān)注

    0

    文章

    113

    瀏覽量

    8192

原文標(biāo)題:優(yōu)秀的 Verilog/FPGA開源項(xiàng)目介紹(三十七)- MATH庫(kù)

文章出處:【微信號(hào):Open_FPGA,微信公眾號(hào):OpenFPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    與PDM接口相關(guān)的開源項(xiàng)目

    優(yōu)秀Verilog/FPGA開源項(xiàng)目介紹(三十四)- PDM音頻接口設(shè)計(jì)及信號(hào)處理 ? 緒論
    的頭像 發(fā)表于 10-17 09:10 ?2877次閱讀

    開源FPGA項(xiàng)目有哪些

    請(qǐng)問(wèn)開源FPGA項(xiàng)目有哪些?
    發(fā)表于 12-26 12:09

    夏宇聞老師優(yōu)秀verilog教程課件

    本資料是關(guān)于夏宇聞老師優(yōu)秀verilog教程課件,其中包括verilog講稿PPT、verilog課件、verilog例題等。
    發(fā)表于 09-27 15:00 ?473次下載
    夏宇聞老師<b class='flag-5'>優(yōu)秀</b>的<b class='flag-5'>verilog</b>教程課件

    FPGA中如何使用Verilog處理圖像

    FPGA項(xiàng)目旨在詳細(xì)展示如何使用Verilog處理圖像,從Verilog中讀取輸入位圖圖像(.bmp),處理并將處理結(jié)果寫入Verilog
    的頭像 發(fā)表于 09-23 15:50 ?5786次閱讀

    優(yōu)秀Verilog/FPGA開源項(xiàng)目介紹(一)

    優(yōu)秀Verilog/FPGA開源項(xiàng)目介紹(一)-PCIe通信 今天開始會(huì)陸續(xù)
    的頭像 發(fā)表于 10-11 15:31 ?8972次閱讀
    <b class='flag-5'>優(yōu)秀</b>的 <b class='flag-5'>Verilog</b>/<b class='flag-5'>FPGA</b><b class='flag-5'>開源</b><b class='flag-5'>項(xiàng)目</b><b class='flag-5'>介紹</b>(一)

    優(yōu)秀Verilog/FPGA開源項(xiàng)目之 USB通信

    優(yōu)秀Verilog/FPGA開源項(xiàng)目介紹(五)- USB通信 USB是我們生活中非常非常常見
    的頭像 發(fā)表于 11-02 14:54 ?8546次閱讀
    <b class='flag-5'>優(yōu)秀</b>的 <b class='flag-5'>Verilog</b>/<b class='flag-5'>FPGA</b><b class='flag-5'>開源</b><b class='flag-5'>項(xiàng)目</b>之 USB通信

    Verilog/FPGA開源項(xiàng)目介紹

    優(yōu)秀Verilog/FPGA開源項(xiàng)目介紹(七)- CAN0、CAN總線
    的頭像 發(fā)表于 11-17 11:19 ?2956次閱讀

    TTL FPGA開源項(xiàng)目

    電子發(fā)燒友網(wǎng)站提供《TTL FPGA開源項(xiàng)目.zip》資料免費(fèi)下載
    發(fā)表于 07-28 10:18 ?3次下載
    TTL <b class='flag-5'>FPGA</b><b class='flag-5'>開源</b><b class='flag-5'>項(xiàng)目</b>

    OpenHarmony開源項(xiàng)目榮膺“2022東北亞優(yōu)秀開源項(xiàng)目

    (以下簡(jiǎn)稱“OpenHarmony”)開源項(xiàng)目憑借在開源領(lǐng)域所做出的突出貢獻(xiàn),榮膺“2022東北亞優(yōu)秀開源
    的頭像 發(fā)表于 12-09 18:34 ?1959次閱讀
    OpenHarmony<b class='flag-5'>開源</b><b class='flag-5'>項(xiàng)目</b>榮膺“2022東北亞<b class='flag-5'>優(yōu)秀</b><b class='flag-5'>開源</b><b class='flag-5'>項(xiàng)目</b>”

    OpenHarmony開源項(xiàng)目榮膺“2022東北亞優(yōu)秀開源項(xiàng)目

    三國(guó)近年來(lái)在開源技術(shù)、開源產(chǎn)業(yè)發(fā)展中取得的成果,其中OpenAtom OpenHarmony(以下簡(jiǎn)稱“OpenHarmony”)開源項(xiàng)目憑借在開源
    的頭像 發(fā)表于 12-15 21:05 ?670次閱讀

    優(yōu)秀的IC/FPGA開源項(xiàng)目:偽紅外圖像處理

    優(yōu)秀的IC/FPGA開源項(xiàng)目》是新開的系列,旨在介紹單一項(xiàng)目,會(huì)比《
    的頭像 發(fā)表于 06-09 09:42 ?1961次閱讀
    <b class='flag-5'>優(yōu)秀</b>的IC/<b class='flag-5'>FPGA</b><b class='flag-5'>開源</b><b class='flag-5'>項(xiàng)目</b>:偽紅外圖像處理

    Mindstorms Math Alexa啟用獎(jiǎng)品卡車開源構(gòu)建

    電子發(fā)燒友網(wǎng)站提供《Mindstorms Math Alexa啟用獎(jiǎng)品卡車開源構(gòu)建.zip》資料免費(fèi)下載
    發(fā)表于 07-06 09:14 ?0次下載
    Mindstorms <b class='flag-5'>Math</b> Alexa啟用獎(jiǎng)品卡車<b class='flag-5'>開源</b>構(gòu)建

    介紹一個(gè)使用FPGA做的開源示波器

    其實(shí)用FPGA做的示波器有很多,開源的相對(duì)較少,我們今天就簡(jiǎn)單介紹一個(gè)使用FPGA做的開源示波器:
    發(fā)表于 08-14 09:03 ?1119次閱讀

    python中如何引入math庫(kù)

    等。在本文中,將詳細(xì)介紹如何引入math庫(kù),并且討論各種數(shù)學(xué)函數(shù)的應(yīng)用。 為了引入math庫(kù),我們可以使用以下代碼: import
    的頭像 發(fā)表于 11-22 11:03 ?3379次閱讀

    關(guān)于FPGA開源項(xiàng)目介紹

    Hello,大家好,之前給大家分享了大約一百多個(gè)關(guān)于FPGA開源項(xiàng)目,涉及PCIe、網(wǎng)絡(luò)、RISC-V、視頻編碼等等,這次給大家?guī)?lái)的是不枯燥的娛樂(lè)項(xiàng)目,主要偏向老的游戲內(nèi)核使用
    的頭像 發(fā)表于 01-10 10:54 ?1193次閱讀
    關(guān)于<b class='flag-5'>FPGA</b>的<b class='flag-5'>開源</b><b class='flag-5'>項(xiàng)目</b><b class='flag-5'>介紹</b>