0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

涂鴉智能年度關(guān)鍵詞出爐:聚焦前沿技術(shù),賦能客戶構(gòu)筑競爭壁壘

涂鴉開發(fā)者 ? 2023-01-14 10:15 ? 次閱讀

回首2022年,我們見證了全球化IoT開發(fā)平臺(tái)服務(wù)商涂鴉智能(NYSE: TUYA,HKEX: 2391)眾多“大事件”的發(fā)生:成功在香港聯(lián)交所完成雙重上市、涂鴉&支付寶“數(shù)字商圈”戰(zhàn)略發(fā)布、《有什么用》科普IP重磅推出……不知不覺間,涂鴉已在IoT行業(yè)留下了濃墨重彩的一筆。

948f10ac-9289-11ed-ad0d-dac502259ad0.jpg

篳路藍(lán)縷,以啟山林。當(dāng)下,全球經(jīng)濟(jì)正處于下行周期,涂鴉一路走來,雖坎坷卻堅(jiān)定不移。涂鴉多元業(yè)務(wù)全面開花,引領(lǐng)IoT行業(yè)不斷向前的背后,也離不開其獨(dú)有的戰(zhàn)略布局和對企業(yè)價(jià)值的堅(jiān)守。

新的一年,機(jī)遇挑戰(zhàn)并存。為賦能企業(yè)加速數(shù)智化轉(zhuǎn)型升級(jí),涂鴉基于自身價(jià)值理念與戰(zhàn)略規(guī)劃總結(jié)出四大年度關(guān)鍵詞,并將進(jìn)一步在這些關(guān)鍵領(lǐng)域及維度持續(xù)發(fā)力,攜手客戶決勝2023。接下來就請跟隨涂鴉的步伐,看看這些年度關(guān)鍵詞究竟是什么?


關(guān)鍵詞一

秉持初心,打造開放中立生態(tài)

2022年,在全球經(jīng)濟(jì)下行的大趨勢下,涂鴉仍保持著平臺(tái)開發(fā)者數(shù)量穩(wěn)步增長,截至2022年9月30日,涂鴉IoT開發(fā)平臺(tái)已累計(jì)超過64.7萬注冊開發(fā)者。與此同時(shí),基于涂鴉提供的技術(shù)、渠道、生態(tài)等全方位賦能,全球客戶表示將長期看好涂鴉的市場潛力。

例如,韓國排名前3的智能家居品牌KOCOM,通過涂鴉落地了可視化門鈴等智能產(chǎn)品;一家全球百年掃地機(jī)洗地機(jī)品牌、美國頭部清潔機(jī)器制造商,與涂鴉攜手開拓小家電領(lǐng)域;以及巴西最大的驅(qū)動(dòng)制造商Intral、印度綜合性移動(dòng)消費(fèi)電子產(chǎn)品頭部品牌LAVA等都在通過涂鴉,落地各自的智能設(shè)備業(yè)務(wù)。

涂鴉受到大量客戶信賴的關(guān)鍵原因,在于涂鴉打造了一個(gè)中立、開放的行業(yè)生態(tài),客戶可以選擇符合其自身需求的工具,構(gòu)建更適合自身發(fā)展的解決方案,實(shí)現(xiàn)自主可控需求的同時(shí)又極大降低研發(fā)成本。

因此,在2023年,涂鴉將堅(jiān)持初心不變,以中立、開放的IoT生態(tài)全面賦能客戶實(shí)現(xiàn)戰(zhàn)略轉(zhuǎn)型,共建IoT帶來的可持續(xù)發(fā)展的美好世界。

關(guān)鍵詞二

客戶第一,洞察全球市場需求

作為全球化IoT開發(fā)平臺(tái)服務(wù)商,“客戶第一”一直是涂鴉堅(jiān)持并貫徹的理念。

以客戶的靈活性保障為第一要素,涂鴉考慮問題的角度變得更加多元:既攜手生態(tài)伙伴共同推動(dòng)行業(yè)的創(chuàng)新發(fā)展,又以客戶視角思考,考慮客戶產(chǎn)品的銷量和售后,以及C端消費(fèi)者的用戶體驗(yàn)。雖然這個(gè)過程很艱難,但結(jié)果卻令人欣喜,涂鴉的商業(yè)嗅覺變得更加敏銳,對客戶的當(dāng)前需求了然于胸,市場反應(yīng)速度在行業(yè)內(nèi)也是首屈一指。

這也得益于涂鴉獨(dú)特的全球本地化戰(zhàn)略,在該戰(zhàn)略的推動(dòng)下,涂鴉實(shí)地扎根全球市場,充分了解當(dāng)?shù)厥袌鐾袋c(diǎn)、文化風(fēng)俗、商業(yè)習(xí)慣、法律法規(guī)等。而后,憑借豐富的免開發(fā)方案,涂鴉可以快速響應(yīng)市場和客戶需求,幫助客戶搶占市場先機(jī)。

例如,在“碳達(dá)峰”、“碳中和”的大目標(biāo)下,企業(yè)向低碳化、智慧化轉(zhuǎn)型的需求愈發(fā)迫切,但傳統(tǒng)的生活生產(chǎn)方式,需要付出更多時(shí)間和資金投入,才能滿足綠色環(huán)保以及節(jié)能的需求。

為改變這一情況,涂鴉憑借敏銳的市場洞察力,在家電這個(gè)重要的用電場景中,賦能硬件廠商研發(fā)出了智能插座。一旦為電器裝上智能插座,就能通過手機(jī)、語音來設(shè)置定時(shí)或者遠(yuǎn)程開啟/關(guān)閉,輕松減少能源損耗。同時(shí),涂鴉還打造出智慧能源解決方案,客戶可以精準(zhǔn)掌握能耗情況,實(shí)現(xiàn)電器設(shè)備的智能調(diào)節(jié),以及電源、電網(wǎng)、負(fù)荷、儲(chǔ)能的閉環(huán)管理。

2023年,堅(jiān)持客戶第一的涂鴉,將通過前瞻性的市場部署,助力每一位新老客戶都能更好地獲取自己的價(jià)值,促進(jìn)企業(yè)持續(xù)性發(fā)展。

關(guān)鍵詞三

打造軟硬一體解決方案,驅(qū)動(dòng)客戶商業(yè)增長

IoT浪潮席卷而來,讓大量新的商業(yè)實(shí)踐成為可能。日趨激烈的競爭壓力下,如何通過軟硬一體解決方案的創(chuàng)新為企業(yè)發(fā)展注入新活力,成為行業(yè)亟需解決的普遍性難題。

在此方面,涂鴉做了一個(gè)很好的示范。2022年,涂鴉在智慧商業(yè)的探索上取得了極大進(jìn)展,打造了數(shù)字商圈以及特約授權(quán)服務(wù)商等創(chuàng)新的商業(yè)模式,除提供軟硬一體智慧解決方案外,還在市場、渠道等多方面賦能合作伙伴發(fā)展。

例如,由涂鴉和國內(nèi)領(lǐng)先的數(shù)字生活開放平臺(tái)支付寶共同打造的數(shù)字商圈模式,讓酒店不僅只是一處落腳點(diǎn),更是串聯(lián)周邊商業(yè)體的“數(shù)字商圈聯(lián)盟中心”,實(shí)現(xiàn)酒店和本地商圈的有機(jī)聯(lián)動(dòng),真正構(gòu)造一體化的數(shù)字商圈。

而特約授權(quán)服務(wù)商則是涂鴉推出的一種新型合作模式,在該模式之下,涂鴉會(huì)對智能產(chǎn)品供應(yīng)鏈進(jìn)行整合,使客戶既具備橫向跨場景解決方案的能力,又能縱向滿足行業(yè)不同客戶的需求,并且覆蓋國內(nèi)和海外市場。最重要的是,酒店、租住、商業(yè)照明、社區(qū)、家居等行業(yè)的邊界也會(huì)被一一打通。

憑借軟硬一體的解決方案以及多維度的創(chuàng)新商業(yè)模式,涂鴉正成為越來越多企業(yè)拓展智慧商業(yè)的最佳選擇之一。

關(guān)鍵詞四

賦能客戶戰(zhàn)略升級(jí),提升市場競爭力

涂鴉智能是一家以IoT技術(shù)、軟硬一體解決方案為核心的科技企業(yè),IoT技術(shù)的穩(wěn)定性、安全性,再加上解決方案的差異性、易用性以及強(qiáng)大的功能性和可持續(xù)性成為涂鴉幫助客戶構(gòu)筑“護(hù)城河”的最強(qiáng)基石。

其中,涂鴉推出的企業(yè)級(jí)IoT平臺(tái)部署解決方案——Cube智慧私有云表現(xiàn)尤為突出。

作為一個(gè)支撐全行業(yè)全場景的IoT產(chǎn)品,Cube智慧私有云集成了涂鴉的研發(fā)競爭、智能化、前沿技術(shù)、安全合規(guī)等優(yōu)勢,經(jīng)過長達(dá)三年的經(jīng)驗(yàn)累積,不斷迭代升級(jí)的Cube已贏得了多個(gè)重量級(jí)客戶的青睞。對于這些規(guī)模較大、業(yè)務(wù)復(fù)雜、對數(shù)據(jù)安全要求更高的企業(yè)來說,Cube既能助力自身靈活自主地構(gòu)建IoT平臺(tái),大幅節(jié)約研發(fā)費(fèi)用,又能使其無縫接入萬物智聯(lián)場景,享有獨(dú)立且豐富的生態(tài)利好,從而在激烈的市場競爭下占據(jù)先手優(yōu)勢。

此外,涂鴉2022年在前沿技術(shù)創(chuàng)新方面還有一個(gè)顯眼的特點(diǎn),即在寬度及深度上不斷拓展,從而將越來越多的垂直行業(yè)納入IoT范疇。

例如,涂鴉智能賦能的網(wǎng)關(guān)、燈、插座等多款智能產(chǎn)品,獲得了全球首批Matter 1.0官方認(rèn)證,幫助客戶更快完成Matter設(shè)備開發(fā);推出支持OCPP的智慧解決方案,助力充電樁客戶順利出海;以及攜手亞馬遜為客戶打造更具競爭力的BSS解決方案等,這些都是涂鴉加強(qiáng)技術(shù)投入,幫助客戶打造競爭壁壘的表現(xiàn)。


94decfac-9289-11ed-ad0d-dac502259ad0.jpg【涂鴉支持Matter解決方案演示臺(tái)

天文學(xué)家第谷布拉赫曾道:“希望是隱藏在群山后的星星,探索是人生道路上倔執(zhí)的旅人?!弊鳛镮oT行業(yè)的引領(lǐng)者和深耕者,涂鴉正如一位執(zhí)著的旅人,一路探索從未止步。2023年,涂鴉智能將一如既往地賦能企業(yè)轉(zhuǎn)型升級(jí),攜手合作伙伴共獲IoT時(shí)代商機(jī)。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • IOT
    IOT
    +關(guān)注

    關(guān)注

    186

    文章

    4097

    瀏覽量

    195109
  • 涂鴉智能
    +關(guān)注

    關(guān)注

    7

    文章

    184

    瀏覽量

    19404
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    新思科技EDA技術(shù)萬物智能時(shí)代創(chuàng)新

    自動(dòng)化)領(lǐng)域的前沿技術(shù)進(jìn)展,以及EDA如何加速人工智能(AI)、智能汽車等核心科技產(chǎn)業(yè)變革,萬物智能
    的頭像 發(fā)表于 09-13 13:14 ?363次閱讀

    探索AC自動(dòng)機(jī):多關(guān)鍵詞搜索的原理與應(yīng)用案例

    引言 目前,大多數(shù)自由文本搜索技術(shù)采用類似于Lucene的策略,通過解析搜索文本為各個(gè)組成部分來定位關(guān)鍵詞。這種方法在處理少量關(guān)鍵詞時(shí)表現(xiàn)良好。但當(dāng)搜索的關(guān)鍵詞數(shù)量達(dá)到10萬個(gè)或更多時(shí)
    的頭像 發(fā)表于 08-26 15:55 ?703次閱讀
    探索AC自動(dòng)機(jī):多<b class='flag-5'>關(guān)鍵詞</b>搜索的原理與應(yīng)用案例

    中國信通院發(fā)布“2024云計(jì)算十大關(guān)鍵詞

    7月23日,由中國通信標(biāo)準(zhǔn)化協(xié)會(huì)主辦,中國信息通信研究院(簡稱“中國信通院”)承辦的“2024可信云大會(huì)”在京召開。大會(huì)上,中國信通院正式發(fā)布“2024云計(jì)算十大關(guān)鍵詞”,中國信通院云計(jì)算與大數(shù)
    的頭像 發(fā)表于 08-02 08:28 ?406次閱讀
    中國信通院發(fā)布“2024云計(jì)算十大<b class='flag-5'>關(guān)鍵詞</b>”

    涂鴉App開發(fā)工具App SDK

    我們之前為大家介紹過涂鴉AppSDK的特點(diǎn)和優(yōu)勢,在這一年多時(shí)間里,涂鴉成功幫助很多客戶實(shí)現(xiàn)了有創(chuàng)意的差異化開發(fā),并構(gòu)筑更具核心競爭力的品牌
    的頭像 發(fā)表于 05-24 08:15 ?548次閱讀
    <b class='flag-5'>涂鴉</b>App開發(fā)工具App SDK

    智哪兒現(xiàn)場直擊:AI搭臺(tái),場景唱戲,六大關(guān)鍵詞讀懂AWE 2024智能家電新趨勢

    前沿技術(shù)與家電、消費(fèi)電子產(chǎn)業(yè)深度融合的最新成果與未來愿景。「智能科技,創(chuàng)享生活」是本屆AWE的展會(huì)主題,筆者看到AWE2024的展館擴(kuò)大到14個(gè),展示面積超1
    的頭像 發(fā)表于 03-16 15:31 ?539次閱讀
    智哪兒現(xiàn)場直擊:AI搭臺(tái),場景唱戲,六大<b class='flag-5'>關(guān)鍵詞</b>讀懂AWE 2024<b class='flag-5'>智能</b>家電新趨勢

    深開鴻用三個(gè)關(guān)鍵詞,為你解讀《2023 OpenHarmony 年度運(yùn)營報(bào)告》

    的一筆共同奏響中國基礎(chǔ)軟件的光輝歲月作為OpenHarmony生態(tài)的領(lǐng)軍企業(yè)深開鴻通過三個(gè)關(guān)鍵詞帶你讀懂《2023OpenHarmony年度運(yùn)營報(bào)告》01繁榮202
    的頭像 發(fā)表于 02-02 17:00 ?368次閱讀
    深開鴻用三個(gè)<b class='flag-5'>關(guān)鍵詞</b>,為你解讀《2023 OpenHarmony <b class='flag-5'>年度</b>運(yùn)營報(bào)告》

    芯原成都獲評(píng)“年度技術(shù)企業(yè)”

    成都市集成電路行業(yè)協(xié)會(huì)在成都天府皇冠假日酒店召開了“成都集成電路產(chǎn)業(yè)發(fā)展大會(huì)”。大會(huì)上,芯原微電子 (成都) 有限公司 (以下簡稱:芯原成都) 獲頒“年度技術(shù)企業(yè)”,這是對芯原成都
    的頭像 發(fā)表于 01-22 10:23 ?594次閱讀

    涂鴉攜HEMS和零碳方案重磅亮相CES 2024,加速智慧能源升級(jí)

    聚焦節(jié)能領(lǐng)域,致力于通過前沿技術(shù)的創(chuàng)新,探索綠色低碳未來。1月9日-12日,涂鴉智能攜家庭能源管理系統(tǒng)(HEMS)和零碳方案重磅亮相CES2024,并提出“AllT
    的頭像 發(fā)表于 01-13 08:15 ?516次閱讀
    <b class='flag-5'>涂鴉</b>攜HEMS和零碳方案重磅亮相CES 2024,加速<b class='flag-5'>賦</b><b class='flag-5'>能</b>智慧能源升級(jí)

    中科曙光算力服務(wù)年度盤點(diǎn) 四大關(guān)鍵詞

    總結(jié)為以下四個(gè)關(guān)鍵詞聚焦 —? 曙光算力服務(wù)緊跟市場趨勢,積極參與信通院新一代算力網(wǎng)技術(shù)創(chuàng)新聯(lián)盟、首批可信算力云服務(wù)-智能平臺(tái)和“算力星圖”計(jì)劃。通過深度參與行業(yè)標(biāo)準(zhǔn)和
    的頭像 發(fā)表于 01-04 10:34 ?462次閱讀

    測測這10個(gè)AI關(guān)鍵詞你清楚幾個(gè)?第4個(gè)今年最火

    原文標(biāo)題:測測這10個(gè)AI關(guān)鍵詞你清楚幾個(gè)?第4個(gè)今年最火 文章出處:【微信公眾號(hào):微軟科技】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。
    的頭像 發(fā)表于 12-21 08:15 ?448次閱讀
    測測這10個(gè)AI<b class='flag-5'>關(guān)鍵詞</b>你清楚幾個(gè)?第4個(gè)今年最火

    #2023,你的 FPGA 年度關(guān)鍵詞是什么? # PWM模塊更新

    之前的因?yàn)橐恍﹩栴}發(fā)的code有點(diǎn)問題,這次把更新之后code發(fā)了出來,雖然也不是很完善但是初步還是可以用的; 對應(yīng)的code如下: `timescale 1ns / 1ps modulecreat_PWM ( inputwireclk,//系統(tǒng)時(shí)鐘為100MHz inputwirerst,//系統(tǒng)復(fù)位 inputwirekey_flag1,//占空比上調(diào) inputwirekey_flag2,//占空比下調(diào) inputwirekey_flag3,//頻率上調(diào) inputwirekey_flag4,//頻率下調(diào) output regPWM ); //PWM波形頻率選擇 reg [1:0] Frequency_seting; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b11) && (key_flag3==1\'b1) ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b00) && (key_flag4==1\'b1) ) Frequency_seting <= 2\'b11; else if( key_flag3==1\'b1 ) Frequency_seting <= Frequency_seting + 1\'b1; else if( key_flag4==1\'b1 ) Frequency_seting <= Frequency_seting - 1\'b1; else Frequency_seting <= Frequency_seting; //PWM波形的頻率設(shè)定 reg [23:0] Frequency_CNT_MAX; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_CNT_MAX <= 24\'d9_999; else case( Frequency_seting ) 2\'b00 : Frequency_CNT_MAX <= 24\'d9_999; 2\'b01 : Frequency_CNT_MAX <= 24\'d99_999; 2\'b10 : Frequency_CNT_MAX <= 24\'d999_999; 2\'b11 : Frequency_CNT_MAX <= 24\'d9_999_999; default : Frequency_CNT_MAX <= 24\'d9_999; endcase //PWM頻率生成計(jì)數(shù)器模塊 reg [23:0] counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) counter <= 0; else if( counter == Frequency_CNT_MAX) counter <= 0; else counter <= counter + 1\'b1; //占空比調(diào)節(jié)模塊,步進(jìn)為10% reg [23:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= Frequency_CNT_MAX/2; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + (Frequency_CNT_MAX/10); else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - (Frequency_CNT_MAX/10); else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= counter ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 對應(yīng)的測試用的testbench如下: `timescale 1ns/1ns module tb_creat_PWM(); //****************** Parameter and Internal Signal *******************// //wire define wirePWM; //reg define reg clk; reg rst; reg key_flag1; reg key_flag2; reg key_flag3; reg key_flag4; //***************************** Main Code ****************************// initial begin clk = 1\'b1; rst <= 1\'b0; key_flag1 <= 1\'b0; key_flag2 <= 1\'b0; key_flag3 <= 1\'b0; key_flag4 <= 1\'b0; #201; rst <= 1\'b1; #200; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; #20000000; $stop; end // creator clk always #10 clk <= ~clk; //*************************** Instantiation **************************// creat_PWMcreat_PWM_inst ( .clk ( clk), .rst ( rst), .key_flag1 ( key_flag1 ), .key_flag2 ( key_flag2 ), .key_flag3 ( key_flag3 ), .key_flag4 ( key_flag4 ), .PWM ( PWM) ); endmodule 對應(yīng)的原始code中的參數(shù)如果修改一下是可以大幅縮短仿真時(shí)間,但是一時(shí)沒有想起對應(yīng)的修改模塊內(nèi)部變量的方法,后面找到后再進(jìn)行補(bǔ)充。 寫的還是感覺比較差勁,只能說說慢慢進(jìn)步吧,自己也是自學(xué)不久。
    發(fā)表于 12-12 10:47

    #2023,你的 FPGA 年度關(guān)鍵詞是什么? # PWM模塊基礎(chǔ)設(shè)計(jì)

    由于今天連續(xù)多次無法發(fā)布該文章,心態(tài)真的是崩了,由于基礎(chǔ)的PWM比較簡單,此次先給大家展示個(gè)半成品,完整狀態(tài)對應(yīng)的PWM頻率、占空比均可調(diào)節(jié),對應(yīng)的模塊結(jié)構(gòu)圖如下: 對應(yīng)的基本code如下: modulecreat_PWM ( inputwireclk, //系統(tǒng)時(shí)鐘為50MHz inputwirerst, inputwirekey_flag1, inputwirekey_flag2, output regPWM ); parameter Frequency_CNT_MAX = 16\'d49_999; //輸出PWM為1KHz,1ms=5000*20ns //PWM頻率生成計(jì)數(shù)器模塊 reg [15:0] couter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) couter <= 0; else if( couter == Frequency_CNT_MAX ) couter <= 0; else couter <= couter + 1\'b1; //占空比調(diào)節(jié)模塊 reg [15:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= 16\'d24_999; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + 16\'d49; else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - 16\'d49; else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= Frequency_CNT_MAX ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 由于是第一次在電子發(fā)燒友上發(fā)文章,體驗(yàn)感覺真的不太友好,希望能夠把文章的自動(dòng)保存功能給加上,否則沒有備份真的讓人不開心
    發(fā)表于 12-06 21:56

    #2023,你的 FPGA 年度關(guān)鍵詞是什么? #

    FPGA 年度關(guān)鍵詞,我的想法是“標(biāo)準(zhǔn)化”;今年的工作中遇到了不少同事的issues,本身都是小問題或者很細(xì)節(jié)的東西但是卻反復(fù)出現(xiàn)問題,目前想到的最好的辦法是做好設(shè)計(jì)規(guī)則的標(biāo)準(zhǔn)化才能避免,不知道大家有沒有更好的建議?
    發(fā)表于 12-06 20:31

    技術(shù)筑生態(tài),智聯(lián)贏未來 第二屆OpenHarmony技術(shù)大會(huì)即將在京啟幕

    萬物互聯(lián)的智慧藍(lán)圖。 升級(jí)規(guī)格與規(guī)模,展現(xiàn)前沿技術(shù)進(jìn)展與實(shí)踐 OpenHarmony是由開放原子開源基金會(huì)(OpenAtom Foundation)孵化及運(yùn)營的開源項(xiàng)目,目標(biāo)是面向全場景、全連接
    發(fā)表于 10-31 11:27

    英碼科技精彩亮相火爆的IOTE 2023,多面AIoT產(chǎn)業(yè)發(fā)展!

    產(chǎn)品,包括覆蓋多層次算力的智能工作站(邊緣計(jì)算盒子)、AI加速卡等;同時(shí)向大家展示自研的AI技術(shù)服務(wù)——“深元”0代碼移植工具鏈和創(chuàng)新性的行業(yè)解決方案,更多AIoT產(chǎn)業(yè)生態(tài)企業(yè)快速
    發(fā)表于 09-25 10:03