0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

2023半導(dǎo)體未來十大趨勢預(yù)測

穎脈Imgtec ? 2023-02-08 15:17 ? 次閱讀

本文來自浙商科技·行業(yè)專題報告“2023年半導(dǎo)體未來十大趨勢預(yù)測”,基于2023年消費芯片的庫存拐點和國產(chǎn)半導(dǎo)體的國產(chǎn)化率拐點行情,提出對2023年半導(dǎo)體產(chǎn)業(yè)發(fā)展的十大預(yù)測。

預(yù)測一:成熟工藝將成為國內(nèi)晶圓廠擴產(chǎn)主力軍TrendForce集邦咨詢顯示,2021年晶圓代工廠中,成熟制程仍占據(jù)76%的市場份額。2022年全球晶圓代工廠年增產(chǎn)能約14%,其中十二英寸新增產(chǎn)能當(dāng)中約有65%為成熟制程(28nm及以上)。以全球視角來看,成熟工藝仍是主流:

1、全球視角:世界三大晶圓代工巨頭(臺積電、聯(lián)電、格芯),成熟工藝約占總產(chǎn)能的74%。① 臺積電:成熟工藝約占產(chǎn)能的64%,占銷售額的34%。預(yù)計臺積電產(chǎn)能為120萬片/月(12英寸),16nm/7nm/5nm的產(chǎn)能約為13.7/17.8/12.0萬片,先進制程產(chǎn)能約為43.5萬片/月,占比36%。到2025年其成熟和專業(yè)節(jié)點的產(chǎn)能將擴大50%。② 聯(lián)電:放棄先進制程,專注成熟工藝。聯(lián)電在2018年宣布不再投資12nm以下的先進制程,自此專注在成熟工藝擴大市場。目前聯(lián)電產(chǎn)能為40萬片/月(12英寸),全部集中在成熟工藝。此外,公司于21年投入約36億美元擴大28nm芯片產(chǎn)能。③ 格芯:成熟工藝產(chǎn)能約占83%,退出10nm以下先進制程。格芯于2018年宣布退出10nm及以下的先進制程的研發(fā),目前擁有的先進制程為12nm。預(yù)計目前格芯產(chǎn)能約為20萬片/月(12英寸),擁有先進制程的紐約fab8約占17%。

1990d850-a746-11ed-ad0d-dac502259ad0.png

2、目前國內(nèi)晶圓廠擴產(chǎn)聚焦在成熟工藝,需求大、供給足、成本性價比高。

① 需求:成熟制程能覆蓋除智能手機以外的絕大多數(shù)應(yīng)用場景,更是電動汽車、智能家電的芯片主力軍。② 供給:在***方面,美國芯片法案對中國芯片制造的重點在剛需高端EUV***的先進制程,即14nm及以下的fab、18nm的DRAM、128層的NAND。而目前成熟制程應(yīng)用的DUV***由日本、歐洲掌握,美國的影響力有限。其他設(shè)備方面,北方華創(chuàng)、中微、盛美、拓荊、華海清科、芯源微、萬業(yè)、精測等國內(nèi)半導(dǎo)體設(shè)備廠商產(chǎn)品滿足成熟工藝的標(biāo)準(zhǔn),產(chǎn)品管線覆蓋除***外的所有領(lǐng)域,產(chǎn)品性能得到持續(xù)驗證,半導(dǎo)體設(shè)備國產(chǎn)化率不斷提升。③ 成本/工藝:隨著先進制程不斷演進,制造工藝的研發(fā)和生產(chǎn)成本逐代上漲,高漲的技術(shù)難度和成本高筑進入壁壘。

結(jié)論:成熟工藝作為芯片需求的主力節(jié)點,并且在CHIPLET異構(gòu)集成的大潮下,部分先進工藝可以用成熟工藝+先進封裝來實現(xiàn)。另外由于目前國產(chǎn)設(shè)備材料的技術(shù)發(fā)展階段的條件約束,且我國的成熟工藝產(chǎn)能仍大面積依靠進口,后續(xù)國內(nèi)的擴產(chǎn)主力就是基于國產(chǎn)可控技術(shù)的成熟工藝。


預(yù)測二:全球半導(dǎo)體產(chǎn)業(yè)政策進入密集區(qū)中國在全球半導(dǎo)體產(chǎn)業(yè)中仍為“追趕者”姿態(tài),根據(jù)SIA,2021年半導(dǎo)體行業(yè)格局(按產(chǎn)值)為美國(46%)、韓國(21%)、日本(9%)、歐洲(9%)、中國臺灣(8%)、中國大陸(7%)。隨著半導(dǎo)體行業(yè)走向成熟以及競爭環(huán)節(jié)產(chǎn)生劇變,全球半導(dǎo)體產(chǎn)業(yè)政策也進入密集區(qū),政策主要圍繞“強化自身供應(yīng)鏈”和“加強研發(fā)力度”兩條主線。

19ac37c6-a746-11ed-ad0d-dac502259ad0.png


預(yù)測三:Chiplet將成為跨越制程鴻溝的主線技術(shù)
Chiplet將滿足特定功能的裸芯片通過Die-to-Die內(nèi)部互聯(lián)技術(shù),實現(xiàn)多個模塊芯片與底層基礎(chǔ)芯片的系統(tǒng)封裝,實現(xiàn)一種新形勢的IP復(fù)用。Chiplet不僅是延續(xù)后摩爾時代的關(guān)鍵,也是國內(nèi)布局先進制程的解決方案之一,將成為未來行業(yè)發(fā)展的主線:

1、Chiplet是延續(xù)后摩爾時代,解決產(chǎn)業(yè)發(fā)展難題的關(guān)鍵所在

  • Chiplet可以大幅提高大型芯片的良率:在高性能計算、AI等方面的巨大運算需求,使得整個芯片晶體管數(shù)量暴漲,芯片的面積也不斷增大,固有不良率帶來的損失增大。而Chiplet可以切割成獨立小芯片,有效改善良率,降低不良率帶來的成本增長。
  • Chiplet可以降低設(shè)計的復(fù)雜度和設(shè)計成本:如果將大規(guī)模SoC按不同模塊分解成芯粒,做到類似模塊化設(shè)計,可以重復(fù)利用在不同的芯片產(chǎn)品中。這樣可以大幅降低設(shè)計難度和成本,并且有利于后續(xù)產(chǎn)品的迭代,加速產(chǎn)品上市周期。
  • Chiplet可以降低芯片制造成本:SoC中主要是邏輯計算單元依賴于先進制程提升性能,Chiplet化后可以根據(jù)不同的芯粒選擇合適的制程,分開制造,再用先進封裝進行組裝,極大的降低了芯片的制造成本。

2、Chiplet是國內(nèi)突破技術(shù)封鎖,布局先進制程的重要方案

按性能分,芯片分為三種:

能用芯片:135-28nm,對應(yīng)3G手機、家電、消費電子產(chǎn)業(yè)

夠用芯片:14-7nm含chiplet,對應(yīng)4G手機、L2輔助駕駛、普通座艙

  • 好用芯片:7-2nm的尖端工藝,對應(yīng)5G手機、L5無人駕駛、高級座艙

美國芯片法案的目的是將中國卡在能用芯片中,而為了實現(xiàn)從能用到夠用的進階,有三種途徑:

(1)延續(xù)摩爾定律的原生非A硅制程;(2)轉(zhuǎn)換到第三/四代半導(dǎo)體材料;

(3)超越摩爾的Chiplet(成熟工藝+Chiplet=先進工藝)。

19bfc944-a746-11ed-ad0d-dac502259ad0.png


預(yù)測四:FD-SOI將為國內(nèi)開啟先進制程大門提供可能隨著5G通信、智能駕駛、人工智能等潮流興起,SOI技術(shù)憑借高性能、低功效的優(yōu)勢,帶動SOI硅片需求量大幅增加?;赟OI材料的FD-SOI是先進工藝(28nm以下)兩大技術(shù)路線之一,也是國內(nèi)突破先進工藝的方案之一:1、基于SOI的兩大技術(shù)路線:RF-SOI技術(shù)用于5G射頻芯片,F(xiàn)D-SOI開啟28nm以下先進制程

RF-SOI(射頻絕緣體上硅):相較于傳統(tǒng)的GaAs和SOS技術(shù),不僅成本更低、集成度更高,還發(fā)揮了SOI材料結(jié)構(gòu)的優(yōu)勢,所實現(xiàn)的器件具有高品質(zhì)、低損耗、低噪聲等射頻性能,主要用于制造智能手機和無線通信設(shè)備上的射頻前端芯片。

FD-SOI:FinFET和FD-SOI是發(fā)展先進工藝(28nm以下)的兩大解決方案。FinFET技術(shù)路線的先進工藝帶來了工藝復(fù)雜、工序繁多、良率下降等問題,使得在28 nm以下制程的每門成本不降反升。FD-SOI技術(shù)路線逐漸得到業(yè)界關(guān)注。

  • 理論上,利用DUV***制造的FD-SOI產(chǎn)品,可以達到與采用EUV***制造的FinFET產(chǎn)品相當(dāng)?shù)男阅堋?/strong>

2、材料:核心技術(shù)由法國Soitec掌握,中國大陸加快追趕步伐國外:300mm的SOI硅片核心技術(shù)由法國Soitec掌握,日本信越化學(xué)、SUMCO、中國臺灣環(huán)球晶圓等少數(shù)企業(yè)具備生產(chǎn)能力。國內(nèi):滬硅產(chǎn)業(yè)旗下子公司獲得Soitec技術(shù)授權(quán),公司于2022年2月完成50億定增,其中20億元投入高端硅基材料研發(fā)。項目完成后,滬硅產(chǎn)業(yè)將建立300mm高端硅基材料的供應(yīng)能力,并完成40萬片/年的產(chǎn)能建設(shè),加快在SOI領(lǐng)域的追趕步伐。3、代工:工藝由格羅方德、意法半導(dǎo)體、三星等主導(dǎo)意法半導(dǎo)體于2012年推出了28 nm FD-SOI工藝平臺,并于2014年將該技術(shù)平臺授權(quán)給三星。格羅方德于2017年發(fā)布了22 nm FD-SOI代工平臺,截至2020年年底已實現(xiàn)營收45 億美元,交付芯片超過3.5 億顆。格羅方德于2018年投產(chǎn)的12 nm FD-SOI代工平臺生產(chǎn)的產(chǎn)品幾乎擁有10 nm FinFET 工藝產(chǎn)品同等的性能,但功耗和生產(chǎn)成本卻比16 nm FinFET工藝產(chǎn)品還低。

19df90f8-a746-11ed-ad0d-dac502259ad0.png


預(yù)測五:RISC-V將引領(lǐng)國產(chǎn)CPU IP突破指令集封鎖RISC-V開放的定位是***實現(xiàn)全產(chǎn)業(yè)鏈自主可控的必要基礎(chǔ),條件約束和技術(shù)優(yōu)勢兩方面因素決定了RISC-V與中國半導(dǎo)體產(chǎn)業(yè)雙向選擇。從技術(shù)架構(gòu)、軟硬件生態(tài)到量產(chǎn)應(yīng)用,我國RISC-V產(chǎn)業(yè)正加速邁向成熟。隨著2023年正式步入高性能計算場景,基于RISC-V開發(fā)的CPU IP將成為2023年國產(chǎn)IP主線。

  • RISC-V可以滿足國產(chǎn)CPU架構(gòu)自主可控需求。不同于x86、ARM等國外商業(yè)公司壟斷的私有指令集架構(gòu),RISC-V最大的特點是開放標(biāo)準(zhǔn)化,是CPU技術(shù)變革的一次絕佳機遇,能夠很好的調(diào)節(jié)軟件普適生態(tài)和CPU國產(chǎn)自主可控的雙重需求。

RISC-V生態(tài)體系也因此正在全球范圍內(nèi)快速崛起,成為半導(dǎo)體產(chǎn)業(yè)及物聯(lián)網(wǎng)、邊緣計算等新興應(yīng)用領(lǐng)域的重要創(chuàng)新焦點。

RISC-V全球化立場鮮明。2019年,RISC-V基金會因為擔(dān)憂美國的貿(mào)易法規(guī)而搬到了瑞士,并更名為RISC-V International,進而該開源社區(qū)的代碼上傳下載可不受美國出口管制。目前RISC-V基金會的22個主要成員中有12個來自中國,占比超過50%。其中包括華為公司、阿里巴巴集團、中科院計算所等知名企事業(yè)單位。

IP是實現(xiàn)芯片設(shè)計國產(chǎn)化的必經(jīng)之路。IP作為深層關(guān)鍵要素,對于基礎(chǔ)軟件、芯片設(shè)計等淺層要素,以及代工制造、封裝測試等中層要素,乃至芯片全產(chǎn)業(yè)鏈都是不可或缺的存在。當(dāng)前產(chǎn)業(yè)界90%以上的SoC都是采用以IP核為主而進行設(shè)計的,大量復(fù)用IP核代碼和專利等硅知識產(chǎn)權(quán)。

基于RISC-V的CPU IP將迎來歷史性發(fā)展機遇。目前我國絕大部分的芯片都建立在國外公司的IP授權(quán)或架構(gòu)授權(quán)基礎(chǔ)上。近年來美國對華科技產(chǎn)業(yè)限制層出不窮,IP和芯片底層架構(gòu)國產(chǎn)化替代已經(jīng)迫在眉睫,必須實現(xiàn)深層要素的國產(chǎn)化才能實現(xiàn)全棧要素創(chuàng)新。RISC-V憑借其開放優(yōu)勢有望成為IP獨立自主的關(guān)鍵根技術(shù)。

  • 2023年將成為RISC-V的高性能計算元年。截至2022年末,我國大約有50款不同型號的國產(chǎn)RISC-V芯片量產(chǎn),應(yīng)用場景集中在MCU電源管理、無線連接、存儲控制、物聯(lián)網(wǎng)等中低端場景。而目前已有多家創(chuàng)新企業(yè)計劃在2023年發(fā)布對標(biāo)64核高性能的服務(wù)器級處理器,應(yīng)用領(lǐng)域也有望從專業(yè)應(yīng)用場景逐步拓展到通用計算場景。

預(yù)測六:反全球化持續(xù),中國半導(dǎo)體內(nèi)循環(huán)開啟

2022年美國通過《美國芯片與科學(xué)法案》,其中針對半導(dǎo)體行業(yè),計劃五年內(nèi)投入527億美元的政府補貼。此外,加入“中國護欄”條款,禁止獲得聯(lián)邦資金的公司在中國大幅增產(chǎn)先進制程芯片。這標(biāo)志著半導(dǎo)體行業(yè)將由全球化大分工,轉(zhuǎn)向反全球化。


預(yù)測七:終端廠商及設(shè)計公司向產(chǎn)業(yè)鏈前端滲透

半導(dǎo)體產(chǎn)業(yè)鏈三種權(quán)利:設(shè)計權(quán)(決定創(chuàng)新和供給)+代工權(quán)(決定安全和產(chǎn)能)+設(shè)備權(quán)(決定產(chǎn)業(yè)鏈安全和工藝底層突破)。

芯片產(chǎn)業(yè)全球化分工使設(shè)計與制造環(huán)節(jié)分離,存在供應(yīng)鏈的地理分割,加劇了受外部因素影響而供需失衡的風(fēng)險,因此企業(yè)向產(chǎn)業(yè)鏈前端滲透、實現(xiàn)自主可控已是大勢所趨。

1、對于終端廠商來說,芯片領(lǐng)域?qū)⒊蔀樾碌闹鲬?zhàn)場,著力于掌握芯片設(shè)計權(quán)甚至代工權(quán)是終端企業(yè)未來發(fā)展方向。目前部分下游軟硬件公司逐步開啟芯片自研模式。

①智能手機:小米、OPPO、vivo等芯片研發(fā)主要聚焦于影像、藍牙電池管理等細分領(lǐng)域;

②智能汽車:特斯拉為先鋒,傳統(tǒng)車企以及造車新勢力如通用、比亞迪、蔚來等也先后進軍芯片自研;

③互聯(lián)網(wǎng):亞馬遜、微軟、谷歌、阿里等通過推出定制化的自研芯片,驅(qū)動云計算服務(wù)的創(chuàng)新迭代。參考全球智能手機巨頭的發(fā)展歷程,隨著產(chǎn)品同質(zhì)化加劇,芯片區(qū)別的重要性日益突顯,成功的頭部手機廠商均擁有較強的芯片設(shè)計研發(fā)水平,如蘋果的A系列芯片、三星的獵戶座芯片以及華為的麒麟系列芯片,驗證了掌握核心造芯技術(shù)對于終端廠商的重要性。

終端廠商自研芯片主要由于外部缺芯壓力和內(nèi)部自身發(fā)展需要。

①把握產(chǎn)能主動權(quán):全球芯片短缺使部分下游企業(yè)產(chǎn)能無法釋放,布局芯片領(lǐng)域?qū)⒈U瞎?yīng)鏈穩(wěn)定性。

②滿足應(yīng)用領(lǐng)域功能需求:隨智能化發(fā)展,高通英特爾等芯片企業(yè)供應(yīng)的通用芯片難以滿足終端日益提升的性能需求,自研定制芯片將形成軟硬一體化發(fā)展,構(gòu)建芯片、系統(tǒng)軟件、終端產(chǎn)品的生態(tài)閉環(huán),搶占智能網(wǎng)聯(lián)高地。

③提升話語權(quán)和競爭力:提高對核心技術(shù)的把控能力,使自己擁有產(chǎn)品創(chuàng)新節(jié)奏的主導(dǎo)權(quán)。2、對于IC設(shè)計公司來說,自建晶圓廠、在成熟工藝節(jié)點掌握獨立代工權(quán)、將芯片設(shè)計和生產(chǎn)制造環(huán)節(jié)集于一體,將成為趨勢。

當(dāng)前,缺乏代工權(quán)已經(jīng)成為制約中國半導(dǎo)體設(shè)計公司發(fā)展的關(guān)鍵因素。

①產(chǎn)能不足:設(shè)計公司晶圓制造是芯片產(chǎn)業(yè)鏈的重要環(huán)節(jié),在當(dāng)前全球晶圓產(chǎn)能緊缺、終端消費需求復(fù)蘇的大背景之下,中國大陸芯片仍有較大供需缺口,晶圓代工廠產(chǎn)能無法匹配設(shè)計公司不斷提升的技術(shù)水平。

利潤承壓:晶圓短缺導(dǎo)致代工廠漲價,增加IC設(shè)計公司成本。


預(yù)測八:智能座艙將成為電車智能化主戰(zhàn)場

電車智能化進程可分為智能座艙和智能駕駛兩條線。

1、智能座艙:經(jīng)歷三段式發(fā)展,未來3-5年將成為電車智能化主戰(zhàn)場。1.0階段(1980s-2011):以1986年第七代別克Riviera標(biāo)配9英寸觸摸屏為起點,歷史上第一輛搭載觸屏技術(shù)的汽車誕生,開啟座艙智能化進程。2.0階段(2012-2021):特斯拉Model S創(chuàng)新性地采用大尺寸車載顯示屏,取消絕大部分機械按鍵,標(biāo)志著智能座艙進入電子化時代。3.0階段(2022-2027):理想L9開創(chuàng)智能化交互模式,采用五塊大屏,即HUD+安全駕駛交互屏+中控屏+副駕屏+后艙娛樂屏,并且擁有6音區(qū)、3D ToF傳感器及21個揚聲器等,實現(xiàn)三維交互,此后智能座艙發(fā)展聚焦于人機交互的智能體驗。2、智能駕駛:目前發(fā)展受限,時機尚未成熟,2025后有望突破約束得以發(fā)展。

短期內(nèi),智能駕駛無法成為智能電車發(fā)展重點的主要原因:

①缺少芯片代工:雖然我國有先進制程的設(shè)計能力和封測能力,但先進制程的生產(chǎn)制造水平落后,缺少掌握先進工藝的芯片代工廠,高性能芯片供給受約束。

②缺少算法算力:盡管以地平線、海思為代表的***廠商具備大算力優(yōu)勢,但整體來看,仍難以滿足由傳感器數(shù)量提升帶來的爆發(fā)式增長的算力需求。

③缺少法律法規(guī):當(dāng)前我國自動駕駛相關(guān)法律法規(guī)尚不完善,其商業(yè)化應(yīng)用將面臨法律挑戰(zhàn)。因此,預(yù)計2025年前,智能駕駛方面依然以輔助駕駛為主、智能駕駛創(chuàng)新為輔,長遠來看自動駕駛將是電車智能化的終局。


預(yù)測九:芯片去庫存繼續(xù)推進,周期拐點已至在我們的半導(dǎo)體研究框架中,短期看庫存周期,中期看創(chuàng)新周期,長期看國產(chǎn)替代。

典型的庫存周期可分為四個階段:

①主動去庫存(量價齊跌):晶圓廠產(chǎn)能供過于求,全行業(yè)芯片庫存達到高點,以手機和家電為代表的下游需求緊縮,于是降價以去庫存,消費芯片呈現(xiàn)出量價齊跌狀態(tài)。

②被動去庫存(量跌價平/升):隨需求復(fù)蘇,庫存繼續(xù)減少,價格保持,隨后逐步漲至正常利潤線水平。

③主動補庫存(量價齊升):需求增加的速度高于供給增長,庫存持續(xù)下行,庫存去完后供需平衡,廠商擴大供給,進入補庫存階段,量價齊升,處于盈利最佳狀態(tài)。

④被動補庫存(量升價平/跌):需求相對平穩(wěn),而廠商為了應(yīng)付未來可能的需求,繼續(xù)增加產(chǎn)量,存在供給慣性,導(dǎo)致供給側(cè)產(chǎn)能過剩。


預(yù)測十:國產(chǎn)化5.0推進,建立中國半導(dǎo)體生態(tài)系統(tǒng)

通過梳理國內(nèi)半導(dǎo)體行業(yè)國產(chǎn)替代的發(fā)展脈絡(luò),可以分為五個階段,2023年國產(chǎn)化將從4.0向5.0推進:

1、國產(chǎn)化1.0(芯片設(shè)計):2019年以信創(chuàng)軟件(操作系統(tǒng))和芯片設(shè)計(數(shù)字芯片、模擬芯片)幾大類為主2019年5月,限制華為終端的上游芯片供應(yīng),目的是卡住芯片下游成品,直接刺激了對國產(chǎn)模擬芯片、國產(chǎn)射頻芯片、國產(chǎn)存儲芯片、國產(chǎn)CMOS芯片的傾斜采購,這是第一步。2、國產(chǎn)化2.0 (晶圓制造):2020年以晶圓代工和周邊產(chǎn)業(yè)鏈,主要以中芯國際、封測鏈、設(shè)備鏈為主2020年9月,限制海思設(shè)計的上游晶圓代工鏈,目的是卡住芯片中游代工。由于全球晶圓廠都嚴重依賴美國的半導(dǎo)體設(shè)備(PVD、刻蝕機、離子注入機等),海思只能轉(zhuǎn)移到備胎代工鏈,直接帶動了中芯國際等國產(chǎn)晶圓廠和封測廠的加速發(fā)展。3、國產(chǎn)化3.0(設(shè)備材料) :2021年以晶圓廠上游的半導(dǎo)體設(shè)備和材料鏈為主,比如前道核心設(shè)備和黃光區(qū)芯片材料2020年12月,中芯國際進入實體名單,限制的是芯片上游半導(dǎo)體供應(yīng)鏈,本質(zhì)是卡住芯片上游設(shè)備。想要實現(xiàn)供應(yīng)鏈安全,必須做到對半導(dǎo)體設(shè)備和半導(dǎo)體材料的逐步突破,由于DUV不受美國管轄,此階段的關(guān)鍵是針對刻蝕等美系技術(shù)的替代。

19fed21a-a746-11ed-ad0d-dac502259ad0.png

4、國產(chǎn)化4.0(設(shè)備零部件、EDA/IP、材料上游) :2022年以零部件和EDA為主,進入到國產(chǎn)鏈條的深水區(qū),最底層的替代2022年8月,美國發(fā)布芯片法案,對國內(nèi)先進制程的發(fā)展進行封鎖。想要實現(xiàn)產(chǎn)業(yè)自主可控,必須進入國產(chǎn)鏈條的深水區(qū),實現(xiàn)從根技術(shù)到葉技術(shù)的全方位覆蓋。因此,底層的半導(dǎo)體設(shè)備逐漸實現(xiàn)1-10的放量,芯片材料逐漸實現(xiàn)0-1的突破,EDA/IP登陸資本市場,成為全新品類,最底層的設(shè)備零部件也將迎來歷史性發(fā)展。5、國產(chǎn)化5.0(中國半導(dǎo)體生態(tài)系統(tǒng)):2023年以后,將以建立產(chǎn)業(yè)鏈各環(huán)節(jié)強供需聯(lián)系、打通內(nèi)循環(huán)為主要替代目標(biāo)

我國半導(dǎo)體產(chǎn)業(yè)全而不強,半導(dǎo)體產(chǎn)業(yè)鏈的幾乎每一個環(huán)節(jié)都有中國企業(yè),但是整體處于落后位置。由于產(chǎn)業(yè)鏈上下游的中國企業(yè)缺乏深度聯(lián)系,單個企業(yè)的進步很容易受美國制裁影響。因此,培育良好的產(chǎn)業(yè)生態(tài),實現(xiàn)全自主制造,打通內(nèi)循環(huán),依托國內(nèi)的市場優(yōu)勢,實現(xiàn)半導(dǎo)體產(chǎn)業(yè)鏈的不斷升級,將成為國內(nèi)半導(dǎo)體行業(yè)國產(chǎn)化5.0的重要目標(biāo)。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26311

    瀏覽量

    209940
  • 晶圓
    +關(guān)注

    關(guān)注

    52

    文章

    4742

    瀏覽量

    127272
收藏 人收藏

    評論

    相關(guān)推薦

    中國半導(dǎo)體產(chǎn)業(yè)的十大技術(shù)“瓶頸”解析

    半導(dǎo)體技術(shù)是現(xiàn)代電子科技的核心,它的發(fā)展水平直接體現(xiàn)了一個國家的科技實力。近年來,我國半導(dǎo)體產(chǎn)業(yè)雖然取得了長足進步,但仍有一些核心技術(shù)尚未完全掌握。本文將詳細解析我國在半導(dǎo)體(芯片)領(lǐng)域尚未掌握的
    的頭像 發(fā)表于 06-06 10:09 ?943次閱讀
    中國<b class='flag-5'>半導(dǎo)體</b>產(chǎn)業(yè)的<b class='flag-5'>十大</b>技術(shù)“瓶頸”解析

    AI浪潮下的十大消費者新趨勢

    《2030年代十大熱門消費趨勢——AI賦能的未來》 收集了來自全球13座城市6,500名早期采用者對2030年代AI場景的反饋,并將其總結(jié)為了十大趨勢: ? 01? AI 購物助手
    發(fā)表于 06-05 10:30 ?201次閱讀

    如何看待半導(dǎo)體行業(yè)未來的新趨勢

    如何看待半導(dǎo)體行業(yè)未來的新趨勢
    的頭像 發(fā)表于 04-25 11:38 ?540次閱讀
    如何看待<b class='flag-5'>半導(dǎo)體</b>行業(yè)<b class='flag-5'>未來</b>的新<b class='flag-5'>趨勢</b>

    并購、擴產(chǎn)、合作——盤點2023年全球第三代半導(dǎo)體行業(yè)十大事件

    在清潔能源、電動汽車的發(fā)展趨勢下,近年來第三代半導(dǎo)體碳化硅和氮化鎵受到了史無前例的關(guān)注,市場以及資本都在半導(dǎo)體行業(yè)整體下行的階段加大投資力度,擴張規(guī)模不斷擴大。在過去的2023年,全球
    的頭像 發(fā)表于 02-18 00:03 ?3342次閱讀

    華為發(fā)布2024智能光伏十大趨勢

    華為以“持續(xù)創(chuàng)新,質(zhì)勝未來,加速光伏成為主力能源”為主題,舉辦2024智能光伏十大趨勢發(fā)布會。
    的頭像 發(fā)表于 01-25 11:17 ?603次閱讀

    功率半導(dǎo)體:現(xiàn)代電子工業(yè)的“心臟”與未來趨勢

    功率半導(dǎo)體作為半導(dǎo)體行業(yè)的重要分支,在現(xiàn)代電子工業(yè)中扮演著至關(guān)重要的角色。隨著科技的不斷進步,功率半導(dǎo)體的應(yīng)用范圍日益廣泛,涵蓋了電力、交通、通信、家電等眾多領(lǐng)域。本文將詳細探討功率半導(dǎo)體
    的頭像 發(fā)表于 01-25 09:51 ?593次閱讀
    功率<b class='flag-5'>半導(dǎo)體</b>:現(xiàn)代電子工業(yè)的“心臟”與<b class='flag-5'>未來</b><b class='flag-5'>趨勢</b>

    讓數(shù)字世界堅定運行 | 華為發(fā)布2024數(shù)據(jù)中心能源十大趨勢

    深圳2024年1月17日 /美通社/ --?近日,華為舉辦2024數(shù)據(jù)中心能源十大趨勢發(fā)布會并發(fā)布《白皮書》。發(fā)布會上,華為數(shù)據(jù)中心能源領(lǐng)域總裁堯權(quán)定義未來數(shù)據(jù)中心的三大特征:安全可靠、融合極簡、低
    的頭像 發(fā)表于 01-17 20:45 ?468次閱讀
    讓數(shù)字世界堅定運行 | 華為發(fā)布2024數(shù)據(jù)中心能源<b class='flag-5'>十大趨勢</b>

    華為發(fā)布2024數(shù)據(jù)中心能源十大趨勢,引領(lǐng)未來變革

    2024年1月15日,華為舉辦2024數(shù)據(jù)中心能源十大趨勢發(fā)布會并發(fā)布《白皮書》。JAEALOT2024年1月15日中國深圳2024年1月15日,華為舉辦2024數(shù)據(jù)中心能源十大趨勢發(fā)布會并發(fā)
    的頭像 發(fā)表于 01-17 08:25 ?452次閱讀
    華為發(fā)布2024數(shù)據(jù)中心能源<b class='flag-5'>十大趨勢</b>,引領(lǐng)<b class='flag-5'>未來</b>變革

    華為發(fā)布2024數(shù)據(jù)中心能源十大趨勢

    今日,華為舉辦2024數(shù)據(jù)中心能源十大趨勢發(fā)布會并發(fā)布《白皮書》。發(fā)布會上,華為數(shù)據(jù)中心能源領(lǐng)域總裁堯權(quán)定義未來數(shù)據(jù)中心的三大特征:安全可靠、融合極簡、低碳綠色,并分享數(shù)據(jù)中心在部件、產(chǎn)品、系統(tǒng)和架構(gòu)方面的技術(shù)演進趨勢,凝聚共識
    的頭像 發(fā)表于 01-15 17:29 ?767次閱讀

    2023半導(dǎo)體企業(yè)銷售業(yè)績排名

    該報告披露,前25強半導(dǎo)體企業(yè)名單與2022年無異。其中,收入最高的十大半導(dǎo)體公司2023年總計收入3578億美元,同比驟降9%;而其中營收增速最快的當(dāng)屬位于首位的臺積電,以年同比負增長9%,超越三星成為霸主。
    的頭像 發(fā)表于 01-15 10:42 ?982次閱讀
    <b class='flag-5'>2023</b>年<b class='flag-5'>半導(dǎo)體</b>企業(yè)銷售業(yè)績排名

    AIGC將如何落地?2024 AIGC應(yīng)用層十大趨勢

    1 月 3 日,釘釘聯(lián)合國際知名咨詢機構(gòu) IDC 發(fā)布首份《2024 AIGC 應(yīng)用層十大趨勢白皮書》(下稱《白皮書》)。
    的頭像 發(fā)表于 01-08 17:10 ?1102次閱讀
    AIGC將如何落地?2024 AIGC應(yīng)用層<b class='flag-5'>十大趨勢</b>

    2023年度十大科技名詞

    12月26日,“2023年度十大科技名詞”在京發(fā)布?!按笳Z言模型、生成式人工智能、量子計算、腦機接口、數(shù)據(jù)要素、智慧城市、碳足跡、柔性制造、再生稻、可控核聚變”入選。個最具影響力和代表性的科技名詞
    的頭像 發(fā)表于 01-03 08:27 ?504次閱讀
    <b class='flag-5'>2023</b>年度<b class='flag-5'>十大</b>科技名詞

    2023青年最關(guān)注改變未來十大變革的科技

    清華大學(xué)《探臻科技評論》2023“青年最關(guān)注的改變未來十大變革科技”榜單發(fā)布!為營造良好的科技創(chuàng)新生態(tài),鼓勵廣大青年學(xué)子瞄準(zhǔn)行業(yè)前沿,深入探索交叉領(lǐng)域,清華大學(xué)《探臻科技評論》開展了2023
    的頭像 發(fā)表于 11-29 08:09 ?328次閱讀
    <b class='flag-5'>2023</b>青年最關(guān)注改變<b class='flag-5'>未來</b><b class='flag-5'>十大</b>變革的科技

    AI再進化丨透過Gartner十大戰(zhàn)略技術(shù)趨勢,看AI如何重塑IT行業(yè)

    共識。 面對不可阻擋的AI大潮,Gartner在新發(fā)布的 2024年十大戰(zhàn)略技術(shù)趨勢 中,對AI的發(fā)展趨勢、AI的風(fēng)險和安全管理、AI的應(yīng)用場景做出了分析和預(yù)測。我們能從中窺見AI技術(shù)
    的頭像 發(fā)表于 11-09 17:55 ?652次閱讀
    AI再進化丨透過Gartner<b class='flag-5'>十大</b>戰(zhàn)略技術(shù)<b class='flag-5'>趨勢</b>,看AI如何重塑IT行業(yè)

    檸檬光子榮獲Semiconductor Review亞太十大光電解決方案商

    檸檬光子榮獲Semiconductor Review-《半導(dǎo)體評論》雜志2023年度“亞太地區(qū)十大光電解決方案商。2023年9月,Semiconductor Review-《
    的頭像 發(fā)表于 10-12 17:35 ?721次閱讀