0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

【產(chǎn)品應(yīng)用】如何使用AWBlock開發(fā)一個流水燈程序

ZLG致遠電子 ? 2023-04-19 09:59 ? 次閱讀

AWBlock 是致遠電子開發(fā)的一款非常易于使用的可視化編程工具,您只需要通過拖曳模塊來構(gòu)建代碼邏輯,過程就像搭積木一樣簡單。

ZTP800-B是廣州致遠電子股份有限公司開發(fā)的最新一代智能機器人示教器(移動HMI),相較于其他的控制器而言,工藝開發(fā)更為簡單方便。在ZTP800-B中,已對其內(nèi)部復(fù)雜的代碼按照功能塊的形式打包,用戶只需要將功能塊像搭積木一樣組合起來,整個過程只用在ZTP800-B觸摸屏上拖動功能塊,而不再需要去學(xué)習(xí)和使用特定的示教編程語言進行工藝開發(fā)。

ZTP800-B示教器所包含的拖拽編程AWBlock具備以下特色:

  • 唯一可以在嵌入式設(shè)備上跑的;
  • 唯一支持在線單步調(diào)試的;
  • 唯一支持反向代碼生成的;
  • 和AWTK/AWorks無縫集成,提供大量基礎(chǔ)功能塊。

下文將實現(xiàn)流水燈來讓大家了解如何使用ZTP800-B示教器進行開發(fā)和調(diào)試工藝。

e6feed98-da9c-11ed-ad0d-dac502259ad0.jpg 硬件連接

控制器通過數(shù)字輸出端口控制燈的亮和滅:

e70ea2f6-da9c-11ed-ad0d-dac502259ad0.png

控制器和示教器通過網(wǎng)口連接。

示教器連接:

e7ddcd60-da9c-11ed-ad0d-dac502259ad0.jpg

控制器連接:

e7efd4ba-da9c-11ed-ad0d-dac502259ad0.png

接通示教器和控制器電源后,控制器和示教器連接成功,示教器系統(tǒng)欄上會顯示“已連接”。

e8231474-da9c-11ed-ad0d-dac502259ad0.png


e6feed98-da9c-11ed-ad0d-dac502259ad0.jpg ?功能塊的類型

在開發(fā)工藝程序之前,首先要說明一下功能塊的不同類型,功能塊中分為不帶返回值的塊和帶返回值的塊。

不帶返回值的塊:

e8474fce-da9c-11ed-ad0d-dac502259ad0.png

這種塊可作為其他塊的參數(shù)進行拼接,但不可以與其他塊進行前后拼接:

e85ef4e4-da9c-11ed-ad0d-dac502259ad0.png

帶返回值的塊:

e8700180-da9c-11ed-ad0d-dac502259ad0.png

這種塊相互之間可以進行前后拼接,但不可作為其他塊的參數(shù)進行拼接:

e884d8c6-da9c-11ed-ad0d-dac502259ad0.png

然后是功能塊中參數(shù)的不同類型:

e89832ae-da9c-11ed-ad0d-dac502259ad0.png

e6feed98-da9c-11ed-ad0d-dac502259ad0.jpg 開發(fā)工藝程序

下文將開發(fā)流水燈工藝程序。

點擊“工藝開發(fā)”按鈕。

e8bd719a-da9c-11ed-ad0d-dac502259ad0.png

進入工藝開發(fā)界面。

e8cd8256-da9c-11ed-ad0d-dac502259ad0.png

在示教器工藝開發(fā)界面中,點擊“分類列表”里是按類型分類功能塊的集合,點擊任意功能塊集合的按鈕,就會列出所有該類型功能塊。

由上文硬件連接可知,本例子中的燈是通過控制器的數(shù)字IO控制亮和滅的,所以需要用到設(shè)置數(shù)字IO的功能塊。點擊“控制”按鈕,列出控制類型功能塊后,將設(shè)置數(shù)字IO的功能塊拖出。

e8e59bc0-da9c-11ed-ad0d-dac502259ad0.png

設(shè)置數(shù)字輸出端口的輸出值的功能塊出現(xiàn)警告,這時需要點擊輸入框設(shè)置IO口。

e8fcebf4-da9c-11ed-ad0d-dac502259ad0.png

點擊選擇好需設(shè)置的IO后,如選擇DO0,按下”確定”按鈕完成選擇。

e9197d78-da9c-11ed-ad0d-dac502259ad0.png

設(shè)置IO口完成后,所提示的警告就會消失。

e93a9a80-da9c-11ed-ad0d-dac502259ad0.png

在流水燈里,每一盞燈的做的事情其實只是亮一段時間后熄滅,按照這個邏輯,讓DO0為真,隔一段時間后,再讓DO0為假。

e959ff38-da9c-11ed-ad0d-dac502259ad0.png

每一盞燈亮完后熄滅就會輪到下一盞相鄰的燈,按照相同的邏輯再設(shè)置其他的數(shù)字IO口。

e97ac8a8-da9c-11ed-ad0d-dac502259ad0.png

加入“無限循環(huán)”功能塊,讓流水燈一直循環(huán)點亮。

e9b1245c-da9c-11ed-ad0d-dac502259ad0.png

最后,為了修改每一盞燈亮的時間更方便,還可以加入一個變量控制燈亮的時間。

e9e3dbcc-da9c-11ed-ad0d-dac502259ad0.png

這樣流水燈的程序就實現(xiàn)完成了。


e6feed98-da9c-11ed-ad0d-dac502259ad0.jpg ?運行工藝程序

將示教器旋鈕開關(guān)切換為運行模式,然后點擊“伺服”按鈕,讓伺服上電。

ea1e9ad2-da9c-11ed-ad0d-dac502259ad0.png

回到示教器界面,點擊“運行”按鈕彈出運行工藝窗口,接著點擊“開始”按鈕。

ea319682-da9c-11ed-ad0d-dac502259ad0.png

彈出對話框后點擊“運行”按鈕。

ea49b6ae-da9c-11ed-ad0d-dac502259ad0.png

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 流水燈
    +關(guān)注

    關(guān)注

    21

    文章

    432

    瀏覽量

    59468
  • 程序
    +關(guān)注

    關(guān)注

    115

    文章

    3719

    瀏覽量

    80355
收藏 人收藏

    評論

    相關(guān)推薦

    單片機POV LED流水燈制作

    電子發(fā)燒友網(wǎng)站提供《單片機POV LED流水燈制作.docx》資料免費下載
    發(fā)表于 05-28 14:34 ?2次下載

    【插針機PLC開發(fā)】用AWBlock開發(fā)運動邏輯

    演示AWStudio介紹AWStudio是工業(yè)自動化AllInOne可視化集成開發(fā)環(huán)境,可以用于開發(fā)HMI和PLC程序。針對PLC開發(fā)目前提供了多種解決方案:
    的頭像 發(fā)表于 04-20 08:24 ?229次閱讀
    【插針機PLC<b class='flag-5'>開發(fā)</b>】用<b class='flag-5'>AWBlock</b><b class='flag-5'>開發(fā)</b>運動邏輯

    51單片機流水燈制作

    ?流水燈是學(xué)習(xí)51單片機的基本項目,是我們對單片機IO口輸出認識的基本項目。當(dāng)然學(xué)會了花樣流水燈也很好玩。 下面就分享種51單片機
    的頭像 發(fā)表于 02-26 10:06 ?1156次閱讀
    51單片機<b class='flag-5'>流水燈</b>制作

    【每周練】盤古1K開發(fā)板 練習(xí):LED流水燈實驗

    查看附件中的快速使用手冊。 LED流水燈實驗 本次實驗實現(xiàn)LED流水燈,使用的到的硬件為LED燈,在【每周練】小眼睛FPGA1K開發(fā)板硬件平臺中使用的LED硬件和管腳分布進行了介紹。
    發(fā)表于 12-21 00:20

    【每周練】盤古1K開發(fā)板 練習(xí):LED流水燈

    實驗?zāi)康?熟悉PDS開發(fā)流程,掌握流水燈原理并實現(xiàn)流水燈。 實驗要求 設(shè)計8LED以0.5s間隔接替點亮。 學(xué)習(xí)心得 實現(xiàn)了IO控制,點亮了LED。 實現(xiàn)了LED逆序點亮。LED8-
    發(fā)表于 12-10 16:39

    【每周練】盤古1K開發(fā)板 練習(xí):LED流水燈實驗學(xué)習(xí)

    實驗?zāi)康?熟悉PDS開發(fā)流程,掌握流水燈原理并實現(xiàn)流水燈 實驗要求 設(shè)計8LED以0.5s間隔接替點亮 實現(xiàn)代碼 `define UD #1 module led_ligh
    發(fā)表于 12-09 14:20

    利用SCR步進指令實現(xiàn)流水燈循環(huán)點亮

    在以往介紹西門子smart文章中,提到過流水燈的寫法,有用循環(huán)位移字[ROL(R)_B]節(jié)來實現(xiàn),有利用定時器(TON)來實現(xiàn)。今天我們利用SCR步進指令來實現(xiàn)流水燈循環(huán)點亮,在作這個實驗前提必須滿足如下幾個軟硬件條件:
    的頭像 發(fā)表于 12-04 09:15 ?1578次閱讀
    利用SCR步進指令實現(xiàn)<b class='flag-5'>流水燈</b>循環(huán)點亮

    【每周練】+盤古1K開發(fā)板+環(huán)境建立與流水燈

    下載線,該下載線的連接請參考“小眼睛FPGA”微信視頻號中的“#紫光同創(chuàng)盤古系列FPGA開發(fā)板@盤古1K2K開發(fā)板 燒錄器下載器 連接教程”。 二、流水燈測試 要實現(xiàn)流水燈的效果,在F
    發(fā)表于 11-27 21:57

    【每周練】盤古1K開發(fā)板 練習(xí):LED流水燈實驗

    實驗?zāi)康模?熟悉PDS開發(fā)流程,掌握流水燈原理并實現(xiàn)流水燈 實驗要求: 設(shè)計8LED以0.5s間隔接替點亮
    發(fā)表于 11-21 11:32

    分享花樣流水燈程序

    電子發(fā)燒友網(wǎng)站提供《分享花樣流水燈程序.doc》資料免費下載
    發(fā)表于 11-18 09:59 ?3次下載
    分享花樣<b class='flag-5'>流水燈</b>源<b class='flag-5'>程序</b>

    如何實現(xiàn)LED流水燈程序

    define是宏定義,程序在預(yù)處理階段將用define定義的內(nèi)容進行了替換 。因此在程序運行時,常量表中并沒有用define定義的常量,系統(tǒng)不為它分配內(nèi)存。
    的頭像 發(fā)表于 11-10 11:37 ?2048次閱讀
    如何實現(xiàn)<b class='flag-5'>一</b><b class='flag-5'>個</b>LED<b class='flag-5'>流水燈</b><b class='flag-5'>程序</b>

    基于單片機的流水燈電路設(shè)計

    前面課掌握了嵌入式程序源代碼級調(diào)試,為復(fù)雜單片機實驗程序的調(diào)試做好了準備工作。本次實驗完成流水燈電路模型設(shè)計和編程,在實驗過程中,學(xué)習(xí)流水燈
    的頭像 發(fā)表于 10-31 14:56 ?2787次閱讀
    基于單片機的<b class='flag-5'>流水燈</b>電路設(shè)計

    怎么設(shè)置讓流水燈加速?

    流水燈需要可以加速四段
    發(fā)表于 10-30 06:05

    【中科微CSM32RV003綠色開發(fā)板免費體驗】IDE使用及流水燈程序演示

    【RISC-V】中科微CSM32RV003綠色開發(fā)板IDE使用及流水燈程序演示 流水燈代碼 #include \"headfile.h\" int main(void
    發(fā)表于 10-23 19:26

    求分享c語言的51單片機流水燈循環(huán)流水程序

    [i][i][i][i] c語言 的51單片機流水燈循環(huán)流水程序
    發(fā)表于 10-18 06:57