0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

AWE2023什么值得看?智哪兒帶你五大關(guān)鍵詞讀懂AWE2023

智哪兒 ? 2023-05-04 09:13 ? 次閱讀

4月27至30日,2023年中國家電及消費電子博覽會(AWE 2023)在上海浦東新國際博覽中心開展。

wKgaomRMv1-ASmzWAANzJh7WVnU598.jpg

作為與德國IFA、美國CES并肩的全球前三國際家電及消費電子展覽會,時隔兩年AWE終于重啟。沉淀兩年,它的規(guī)模也是歷年最大:13個展館、15萬平方米的展示規(guī)模,超過1200家國內(nèi)外企業(yè)齊聚上海,主題定為“智科技,創(chuàng)未來”,并呈現(xiàn)人工智能、物聯(lián)網(wǎng)云計算、大數(shù)據(jù)等前沿科技與家電、消費電子行業(yè)深度融合的最新成果。

為此,智哪兒通過線上、線下多方看展,為大家總結(jié)出以下五個關(guān)鍵維度,帶你看懂AWE 2023。

1魅力

毋庸置疑,AWE2023是魅力之展。不僅各大品牌的新品同臺爭芳,其高品質(zhì)的產(chǎn)品給觀眾帶來舒適的使用體驗,不同主題的展廳設計也給予觀眾一場場視覺盛宴,現(xiàn)場展商工作人員與觀眾更是互動連連帶來愉快的沉浸式觀展體驗。

wKgZomRMv16AN7W2AAKbcOIimeI530.jpg

在本次展會上,智哪兒看到很多品牌越來越重視產(chǎn)品外觀設計和功能設計。展會現(xiàn)場很多品牌都搭載了智能家居體驗間,比如索尼,TCL,海爾智家的高端線卡薩帝、三翼鳥,其高端的高質(zhì)量產(chǎn)品給現(xiàn)場觀察者帶來驚艷的使用體驗。值得一提的是,康佳的極光系列將地球的壯美自然現(xiàn)象極光融入到產(chǎn)品設計中,流動形態(tài)和獨特色彩給觀展者帶來震撼的美感。

wKgZomRMv1-Ady78AANpj2bC2E8874.jpg

為了把好產(chǎn)品送到觀展者眼前也離不開好的營銷,智哪兒記者看到現(xiàn)場不同的展廳設計也是風格各異,但都一起給予了觀展者一場視覺盛宴。如三星色彩博物館、博西家電旗下品牌西門子家電高端智能科技感的展臺和TCL三體等六大ip主題展廳。

wKgaomRMv1-Aa0OXABA7AN3IAZY946.jpg

2智能

AWE2023同時也是智能之展。同期智能家居峰會上,Aqara副總孔麗談到在ChatGPT的影響下,全屋智能行業(yè)會出現(xiàn)一個較大的技術(shù)躍遷。2023年,AWE擁抱數(shù)字化、智能化。作為承載行業(yè)創(chuàng)新成果展示與創(chuàng)新加速器功能的平臺,ChatGPT的爆火讓AI交互成為AWE2023離不開的話題。

AI智能作為當下最前沿的技術(shù)領域,也是最引人關(guān)注的,特別是chatGPT的出現(xiàn),更是讓這個領域充滿更多想象空間。AWE2023也匯聚了如科大訊飛、思必馳、涂鴉、德心智能、追覓等眾多人工智能及芯片企業(yè),向我們揭幕AI的更多能力。

wKgaomRMv2GAG-bRAAHsdO8Py00864.jpg

聆思全新AI芯片CSK系列

如何為傳統(tǒng)家電插上智能化的翅膀,這同時需要技術(shù)上和理念上的創(chuàng)新,此次展會硬件、軟件服務商,模組及解決方案商交出了自己的最新成果。聆思全新AI芯片CSK系列,旨在打造萬物智聯(lián)時代專屬的人工智能終端SoC芯片,可廣泛應用于AIoT各類場景。涂鴉AIoT開發(fā)者工具站能夠提供包含AIoT軟硬件產(chǎn)品及商業(yè)服務在內(nèi)的一站式解決方案。思必馳太行系列芯片TH1520、TH2608以及YT系列芯片為參會伙伴展示了賦能家電的優(yōu)異能力。

wKgZomRMv2CAJPLQAAKds1HI5zI764.jpg

家電家居企業(yè)如何降成本、縮功耗、提高安全性,小匠物聯(lián)米雪龍通過會議的形式同期推出OpenCPU技術(shù),幫助眾多智能家電廠家緩解芯片危機,助力企業(yè)加速家電智能化升級,并帶來了降本增效的商業(yè)價值。

3套系


談及智能家居、智慧生活就必須要說到套系。隨著AI、IoT、大數(shù)據(jù)、云計算等技術(shù)在智能家居產(chǎn)業(yè)的進一步落地,定制化、套系化、個性化和一體化成為用戶選擇購買家電和智能家居產(chǎn)品的標準和趨勢。交互式、場景化、定制化的全屋智能解決方案成AWE2023重中之重。

雖然沒有參展,BroadLink博聯(lián)智能劉宗孺在同期智能家居峰會上參與探討家電家居行業(yè)發(fā)展趨勢時說到要打造全屋智能家居超市,讓用戶0門檻DIY全屋方案。而AWE2023也正是一個全屋智能家居的超大市集。

wKgaomRMv2CAV6GqAAQ7_2pCaYI974.jpg

華為智慧家庭

AWE2023現(xiàn)場品牌以場景化展示套系化產(chǎn)品幾乎成為“標配”,家電家居一體化、套系成展會熱門,海爾、TCL、美的、康佳、京東、小鴨等諸多品牌攜套系同臺亮相,互秀全屋智能解決方案,震撼非凡。在AWE 2023上,華為全屋智能將智慧家庭、酒店等行業(yè)空間的全屋智能解決方案進行全方位展示。除了老展商外,橙色云首秀AWE 2023,搭建了全景體驗空間,向現(xiàn)場觀眾展示旗下清潔家電與美妝個護等系列新品。

wKgaomRMv2CAcMfVABf9zXd1T3Q710.jpg

4綠色

AWE2023同時是綠色環(huán)保之展。

不久前,“綠色低碳”在今年兩會中高頻出現(xiàn),綠色家電成為行業(yè)共識。此次AWE 2023中各品牌產(chǎn)品材料與工藝上的創(chuàng)新也體現(xiàn)了家電業(yè)的綠色消費升級這一趨勢,賦能行業(yè)的高質(zhì)量、可持續(xù)發(fā)展。

wKgZomRMv2GAeZuXAANe85FIOjQ675.jpg

在此背景下,海爾智家?guī)硇袠I(yè)最全場景智慧低碳解決方案以及涂鴉帶來智慧能源解決方案亮相展會,助力客戶打造綠色智能產(chǎn)品,引領節(jié)能家電家居新趨勢。

記者也看到博西、美的、聚倍集團等家電家居企業(yè)在產(chǎn)品工藝與綠色材料上進行創(chuàng)新,精益設計,給家電家居行業(yè)帶來一陣清爽的節(jié)能環(huán)保之風。

5健康

疫情熱度雖然已經(jīng)遠去,但余熱仍存,人們對健康的渴望想必暫時不會改變,這助推了家電企業(yè)研發(fā)健康產(chǎn)品,推動家電加速向標準化、健康化方向邁進。未來健康家電市場也必成為又一大主流市場。AWE2023也趨向這一風向。

wKgZomRMv2CAKPfMAAC--JBjhd0897.jpg

西屋電熱水器

因此,AWE2023還是健康家電的展示平臺。此次展會,百年品牌西屋攜全屋智慧熱水解決方案以高質(zhì)量,高顏值的產(chǎn)品亮相??导褬O光系列中的智慧新風空調(diào)也以場景化的方式亮相展會。各大品牌紛紛給觀展者提供現(xiàn)場沉浸式體驗干凈的水和干凈的空氣。

迎合健康市場的機遇,博西、海爾等各大品牌紛紛推出高端化子品牌,為消費者提高提供高端品質(zhì)的家電家居產(chǎn)品。這里不得不說的就是海爾,海爾智家獨占一個展館大空間N5展館,旗下卡薩帝、三翼鳥等子品牌的面積甚至都超過其他主流品牌的展館面積,展示了其非凡的高端產(chǎn)品智造能力,引領高質(zhì)量、智能化的高端市場。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 智能家居
    +關(guān)注

    關(guān)注

    1924

    文章

    9397

    瀏覽量

    182141
  • 智能家電
    +關(guān)注

    關(guān)注

    17

    文章

    906

    瀏覽量

    63912
收藏 人收藏

    評論

    相關(guān)推薦

    中國信通院發(fā)布“2024云計算十大關(guān)鍵詞

    7月23日,由中國通信標準化協(xié)會主辦,中國信息通信研究院(簡稱“中國信通院”)承辦的“2024可信云大會”在京召開。大會上,中國信通院正式發(fā)布“2024云計算十大關(guān)鍵詞”,中國信通院云計算與大數(shù)
    的頭像 發(fā)表于 08-02 08:28 ?404次閱讀
    中國信通院發(fā)布“2024云計算十<b class='flag-5'>大關(guān)鍵詞</b>”

    一圖讀懂泰凌微電子2023年年度報告

    一圖讀懂泰凌微電子2023年年度報告
    的頭像 發(fā)表于 05-22 10:30 ?337次閱讀
    一圖<b class='flag-5'>讀懂</b>泰凌微電子<b class='flag-5'>2023</b>年年度報告

    一圖讀懂廣立微2023年度報告

    一圖讀懂廣立微2023年度報告
    的頭像 發(fā)表于 04-22 10:00 ?307次閱讀
    一圖<b class='flag-5'>讀懂</b>廣立微<b class='flag-5'>2023</b>年度報告

    一圖讀懂紫光國微2023年報

    志高行遠 萬里可期 | 一圖讀懂紫光國微2023年報
    的頭像 發(fā)表于 04-18 10:40 ?397次閱讀
    一圖<b class='flag-5'>讀懂</b>紫光國微<b class='flag-5'>2023</b>年報

    哪兒現(xiàn)場直擊:AI搭臺,場景唱戲,六大關(guān)鍵詞讀懂AWE 2024智能家電新趨勢

    今年的AWE盛會再次聚焦家電行業(yè)的創(chuàng)新浪潮,不同于CES的消費電子炫技和WMC的通信終端角逐,它深耕家電領域,匯聚了眾多家電巨頭的智慧與力量。作為歷屆AWE的絕對主角,家電廠商們在此全方位展示
    的頭像 發(fā)表于 03-16 15:31 ?535次閱讀
    智<b class='flag-5'>哪兒</b>現(xiàn)場直擊:AI搭臺,場景唱戲,六<b class='flag-5'>大關(guān)鍵詞</b><b class='flag-5'>讀懂</b><b class='flag-5'>AWE</b> 2024智能家電新趨勢

    AWE 2024:螢石展臺揭秘未來的清潔機器人會是什么樣?

    闊別一年,中國家電及消費電子博覽會(AWE)終于來了。AWE2024,在上海新國際博覽中心開展。眾所周知,中國的AWE,與德國IFA、美國CES展,并列稱為全球三大頂級家電以及消費電子展。這次
    的頭像 發(fā)表于 03-15 19:16 ?309次閱讀
    <b class='flag-5'>AWE</b> 2024:螢石展臺揭秘未來的清潔機器人會是什么樣?

    深開鴻用三個關(guān)鍵詞,為你解讀《2023 OpenHarmony 年度運營報告》

    的一筆共同奏響中國基礎軟件的光輝歲月作為OpenHarmony生態(tài)的領軍企業(yè)深開鴻通過三個關(guān)鍵詞帶你讀懂2023OpenHarmony年度運營報告》01繁榮202
    的頭像 發(fā)表于 02-02 17:00 ?368次閱讀
    深開鴻用三個<b class='flag-5'>關(guān)鍵詞</b>,為你解讀《<b class='flag-5'>2023</b> OpenHarmony 年度運營報告》

    2023五大無線通信模組廠商:整體虧損減少,車載市場表現(xiàn)強勁

    預告的廠商中,電子發(fā)燒友網(wǎng)整理了移遠通信、廣和通、日海智能、高新興、有方科技這五大廠商的業(yè)績情況。 ? 圖:五大無線通信模組廠商2023年業(yè)績預告 ? 整體來看,移遠通信是上述家廠商
    的頭像 發(fā)表于 02-02 01:22 ?4052次閱讀
    <b class='flag-5'>2023</b>年<b class='flag-5'>五大</b>無線通信模組廠商:整體虧損減少,車載市場表現(xiàn)強勁

    產(chǎn)能過剩、價格低迷?2023鋰電正極材料價格跌去2/3

    2023年以來,產(chǎn)能過剩、價格低迷成為鋰電正極材料的關(guān)鍵詞。
    的頭像 發(fā)表于 01-24 10:10 ?4603次閱讀
    產(chǎn)能過剩、價格低迷?<b class='flag-5'>2023</b>鋰電正極材料價格跌去2/3

    2023年存儲芯片行業(yè)十大關(guān)鍵詞

    低迷的形勢下存儲行業(yè)仍然出現(xiàn)不錯的投融資行為,曠日持久的知識產(chǎn)權(quán)糾紛案也終見分曉。讓我們一起回顧充滿挑戰(zhàn)的2023年,并迎接2024年的新機遇。 ? 知識產(chǎn)權(quán) ? 首先跳出來的重大事件非美光和晉華達到全球和解莫屬。 ? 2023年12月26日
    的頭像 發(fā)表于 01-06 01:08 ?1972次閱讀

    中科曙光算力服務年度盤點 四大關(guān)鍵詞

    總結(jié)為以下四個關(guān)鍵詞。 聚焦 —? 曙光算力服務緊跟市場趨勢,積極參與信通院新一代算力網(wǎng)技術(shù)創(chuàng)新聯(lián)盟、首批可信算力云服務-智能平臺和“算力星圖”計劃。通過深度參與行業(yè)標準和技術(shù)創(chuàng)新,曙光智算成功通過首批“可信算力服務-智
    的頭像 發(fā)表于 01-04 10:34 ?459次閱讀

    未來已來:十大關(guān)鍵詞深度回顧2023智能家居行業(yè)

    插圖由AI生成,智哪兒版權(quán)所有當我們站在2024年的門檻上,回顧過去幾年智能家居領域的發(fā)展,一個令人興奮且充滿未來感的畫面展現(xiàn)在我們眼前。這個行業(yè)已經(jīng)走過了多個發(fā)展階段,從最初的概念引發(fā)好奇,到如今
    的頭像 發(fā)表于 01-02 17:12 ?904次閱讀
    未來已來:十<b class='flag-5'>大關(guān)鍵詞</b>深度回顧<b class='flag-5'>2023</b>智能家居行業(yè)

    #2023,你的 FPGA 年度關(guān)鍵詞是什么? # PWM模塊更新

    之前的因為一些問題發(fā)的code有點問題,這次把更新之后code發(fā)了出來,雖然也不是很完善但是初步還是可以用的; 對應的code如下: `timescale 1ns / 1ps modulecreat_PWM ( inputwireclk,//系統(tǒng)時鐘為100MHz inputwirerst,//系統(tǒng)復位 inputwirekey_flag1,//占空比上調(diào) inputwirekey_flag2,//占空比下調(diào) inputwirekey_flag3,//頻率上調(diào) inputwirekey_flag4,//頻率下調(diào) output regPWM ); //PWM波形頻率選擇 reg [1:0] Frequency_seting; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b11) && (key_flag3==1\'b1) ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b00) && (key_flag4==1\'b1) ) Frequency_seting <= 2\'b11; else if( key_flag3==1\'b1 ) Frequency_seting <= Frequency_seting + 1\'b1; else if( key_flag4==1\'b1 ) Frequency_seting <= Frequency_seting - 1\'b1; else Frequency_seting <= Frequency_seting; //PWM波形的頻率設定 reg [23:0] Frequency_CNT_MAX; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_CNT_MAX <= 24\'d9_999; else case( Frequency_seting ) 2\'b00 : Frequency_CNT_MAX <= 24\'d9_999; 2\'b01 : Frequency_CNT_MAX <= 24\'d99_999; 2\'b10 : Frequency_CNT_MAX <= 24\'d999_999; 2\'b11 : Frequency_CNT_MAX <= 24\'d9_999_999; default : Frequency_CNT_MAX <= 24\'d9_999; endcase //PWM頻率生成計數(shù)器模塊 reg [23:0] counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) counter <= 0; else if( counter == Frequency_CNT_MAX) counter <= 0; else counter <= counter + 1\'b1; //占空比調(diào)節(jié)模塊,步進為10% reg [23:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= Frequency_CNT_MAX/2; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + (Frequency_CNT_MAX/10); else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - (Frequency_CNT_MAX/10); else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= counter ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 對應的測試用的testbench如下: `timescale 1ns/1ns module tb_creat_PWM(); //****************** Parameter and Internal Signal *******************// //wire define wirePWM; //reg define reg clk; reg rst; reg key_flag1; reg key_flag2; reg key_flag3; reg key_flag4; //***************************** Main Code ****************************// initial begin clk = 1\'b1; rst <= 1\'b0; key_flag1 <= 1\'b0; key_flag2 <= 1\'b0; key_flag3 <= 1\'b0; key_flag4 <= 1\'b0; #201; rst <= 1\'b1; #200; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; #20000000; $stop; end // creator clk always #10 clk <= ~clk; //*************************** Instantiation **************************// creat_PWMcreat_PWM_inst ( .clk ( clk), .rst ( rst), .key_flag1 ( key_flag1 ), .key_flag2 ( key_flag2 ), .key_flag3 ( key_flag3 ), .key_flag4 ( key_flag4 ), .PWM ( PWM) ); endmodule 對應的原始code中的參數(shù)如果修改一下是可以大幅縮短仿真時間,但是一時沒有想起對應的修改模塊內(nèi)部變量的方法,后面找到后再進行補充。 寫的還是感覺比較差勁,只能說說慢慢進步吧,自己也是自學不久。
    發(fā)表于 12-12 10:47

    #2023,你的 FPGA 年度關(guān)鍵詞是什么? # PWM模塊基礎設計

    由于今天連續(xù)多次無法發(fā)布該文章,心態(tài)真的是崩了,由于基礎的PWM比較簡單,此次先給大家展示個半成品,完整狀態(tài)對應的PWM頻率、占空比均可調(diào)節(jié),對應的模塊結(jié)構(gòu)圖如下: 對應的基本code如下: modulecreat_PWM ( inputwireclk, //系統(tǒng)時鐘為50MHz inputwirerst, inputwirekey_flag1, inputwirekey_flag2, output regPWM ); parameter Frequency_CNT_MAX = 16\'d49_999; //輸出PWM為1KHz,1ms=5000*20ns //PWM頻率生成計數(shù)器模塊 reg [15:0] couter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) couter <= 0; else if( couter == Frequency_CNT_MAX ) couter <= 0; else couter <= couter + 1\'b1; //占空比調(diào)節(jié)模塊 reg [15:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= 16\'d24_999; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + 16\'d49; else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - 16\'d49; else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= Frequency_CNT_MAX ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 由于是第一次在電子發(fā)燒友上發(fā)文章,體驗感覺真的不太友好,希望能夠把文章的自動保存功能給加上,否則沒有備份真的讓人不開心
    發(fā)表于 12-06 21:56

    #2023,你的 FPGA 年度關(guān)鍵詞是什么? #

    FPGA 年度關(guān)鍵詞,我的想法是“標準化”;今年的工作中遇到了不少同事的issues,本身都是小問題或者很細節(jié)的東西但是卻反復出現(xiàn)問題,目前想到的最好的辦法是做好設計規(guī)則的標準化才能避免,不知道大家有沒有更好的建議?
    發(fā)表于 12-06 20:31