0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

在實(shí)際設(shè)計(jì)中BSV表現(xiàn)如何

OpenFPGA ? 來(lái)源:OpenFPGA ? 2023-06-27 10:44 ? 次閱讀

Bluespec SystemVerilog (BSV) 是由Arvind 開(kāi)發(fā)的 Bluespec 語(yǔ)言,這是一種高級(jí)功能 硬件 描述編程語(yǔ)言,本質(zhì)上是Haskell(Haskell ( / ?h ?s k ?l / ) 是一種通用的、靜態(tài)類型的、純函數(shù)式 編程語(yǔ)言,具有類型推斷和惰性求值。)的擴(kuò)展,用于處理一般的芯片設(shè)計(jì)和電子設(shè)計(jì)自動(dòng)化。Bluespec的主要設(shè)計(jì)者和實(shí)現(xiàn)者是Lennart Augustsson。Bluespec 被部分評(píng)估(轉(zhuǎn)換 Haskell 部分)并編譯為術(shù)語(yǔ)重寫系統(tǒng)(TRS)。它帶有一個(gè)SystemVerilog前端。BSV 編譯為Verilog RTL 設(shè)計(jì)文件。

為什么要 BSV?Verilog 不好用?

BSV 是一門高級(jí)硬件描述語(yǔ)言(High-Level Hardware Description Language, HL-HDL),與 Verilog 一樣,被用于 FPGAASIC 的設(shè)計(jì)和驗(yàn)證。BSV 于 2003 年被 Bluespec 公司開(kāi)發(fā),期間是商業(yè)收費(fèi)工具,到 2020 年它的編譯器才開(kāi)源,這才給了我們接觸它的機(jī)會(huì)。

Verilog 的語(yǔ)法簡(jiǎn)單、特性少,卻能全面且精準(zhǔn)地描述數(shù)字電路,是“小而美”的語(yǔ)言。學(xué)習(xí) Verilog 時(shí),只需要掌握3種常見(jiàn)寫法:assign, always @ (*) 和 always @ (posedge clk) ,剩下的就依賴于你對(duì)電路設(shè)計(jì)的理解了。當(dāng)然,這才是最難的,包括各種繁雜的硬件設(shè)計(jì)思維——狀態(tài)機(jī)、并行展開(kāi)、流水線化、握手信號(hào)、總線協(xié)議等。

各位讀到這里有沒(méi)有意識(shí)到問(wèn)題——用如此簡(jiǎn)單的抽象級(jí)別來(lái)描述如此復(fù)雜的數(shù)字電路系統(tǒng),會(huì)不會(huì)很吃力?相信每個(gè)接觸過(guò)復(fù)雜的 Verilog 系統(tǒng)的讀者,都體會(huì)過(guò)被 always 塊下的幾十個(gè)狀態(tài)所支配的恐懼,也清晰地記得模塊實(shí)例化時(shí)那幾十行嚇人的端口連接。因此,我們需要一種抽象層次更高的 HDL 來(lái)提高開(kāi)發(fā)效率,BSV 就能勝任這一工作。

以上內(nèi)容來(lái)源于:

?

https://zhuanlan.zhihu.com/p/469917984

?

對(duì)BSV有興趣的可以看看上面文章《爆肝6萬(wàn)字的 Bluespec SystemVerilog (BSV) 中文教程上線了》。

今天的核心是使用BSV設(shè)計(jì)一款游戲,看下在實(shí)際設(shè)計(jì)中BSV表現(xiàn)如何~

使用BSV設(shè)計(jì)《太空入侵者》

緒論

2020年1月,Bluespec開(kāi)源了bsc(Bluespec SystemVerilog Compiler)。因此我安裝了 bsc,這是一個(gè)編譯器,可以對(duì)用BSV (Bluespec SystemVerilog)編寫的設(shè)計(jì)進(jìn)行高級(jí)綜合。BSV 與任何其他語(yǔ)言一樣,無(wú)法通過(guò)閱讀手冊(cè)來(lái)學(xué)習(xí),我認(rèn)為學(xué)習(xí)新語(yǔ)言的唯一方法是實(shí)際設(shè)計(jì)應(yīng)用程序。因此,我嘗試使用 BSV 設(shè)計(jì)《太空入侵者》游戲。

a4b7f8a6-1482-11ee-962d-dac502259ad0.png

BSV

簡(jiǎn)而言之,Verilog HDL 是一種標(biāo)準(zhǔn)化為 IEEE 1364 的硬件設(shè)計(jì)語(yǔ)言 (HDL),而 SystemVerilog 是其標(biāo)準(zhǔn)化為 IEEE 1800 的擴(kuò)展。BSV 是進(jìn)一步擴(kuò)展 SystemVerilog 的 HDL。

a494eb54-1482-11ee-962d-dac502259ad0.png

使用設(shè)備

使用的開(kāi)發(fā)板如下:

a50ee710-1482-11ee-962d-dac502259ad0.png

第一步 - 聲音 FSM(有限狀態(tài)機(jī))設(shè)計(jì)

第一次應(yīng)用BSV時(shí),聲音狀態(tài)機(jī)的規(guī)模比較小,所以這是第一個(gè)設(shè)計(jì)目標(biāo)。

確定聲道

游戲中使用了 10 種不同的聲音;需要確定同時(shí)發(fā)生的數(shù)量(=聲道的數(shù)量)。考慮到游戲場(chǎng)景中同時(shí)發(fā)生的條件,假設(shè)有4個(gè)通道:玩家自己的船的聲音、入侵者的聲音1和2、UFO的聲音。

聲音系統(tǒng)框圖

框圖如下圖所示。聲音FSM從之前的設(shè)計(jì)擴(kuò)展到4個(gè)通道。

a544dc4e-1482-11ee-962d-dac502259ad0.png

第二步——游戲FSM設(shè)計(jì)

然后繼續(xù)進(jìn)行游戲FSM的狀態(tài)機(jī)設(shè)計(jì)。

在設(shè)計(jì)聲音 FSM 時(shí),我使用基于狀態(tài)的設(shè)計(jì)方法來(lái)設(shè)計(jì) FSM 。本文中的基于狀態(tài)的FSM設(shè)計(jì)方法是指將序列手動(dòng)分解為狀態(tài),并為每個(gè)狀態(tài)一一編寫規(guī)則的方法。這種方法基本上需要與Verilog相同的工時(shí)。換句話說(shuō),使用高級(jí)語(yǔ)言沒(méi)有什么好處。

另一方面,BSV 有一個(gè)名為StmtFSM的庫(kù),可以高效地設(shè)計(jì)狀態(tài)機(jī)。在這個(gè)游戲FSM中,我充分利用了這一點(diǎn),設(shè)計(jì)時(shí)無(wú)需手動(dòng)進(jìn)行狀態(tài)分解。在本文中,這種方法將被稱為基于序列的方法。

博弈FSM算法

基本上,我發(fā)現(xiàn)游戲可以用與用C編寫相同的方式來(lái)編寫。例如,如果我考慮子彈移動(dòng)、碰撞檢測(cè)、碰撞處理以及顯示和擦除爆炸標(biāo)記的算法,則算法是對(duì)于自己和敵人的子彈來(lái)說(shuō)都是一樣的,如下所示。

if(bullet_explosion_timer>=1){//Bulletexploding
bullet_explosion_timer++;
if(bullet_explosion_timer==MAX){
bulletdeletion;//logicaldeletion
erasebullet_explosion_mark;//logicalerasure
bullet_explosion_timer<=?0;
????}
}?else?{
????if?(no?bullets?and?bullet?generation?condition)?{
????????bullet?generation?process;
????????bullet?sound;?//?only?own?bullets,?no?sound?for?enemy?bullets
????}
????if?(bullet?exists)?{
????????Collision?detection;
????????if?(collision?with?object)?{?//?invader?and?UFO?for?own?bullets,?ship?for?enemy?bullets
????????????delete?bullet;?//?logical?deletion
????????????erase?bullet_mark;?//?Physical?deletion
????????????object?state?<=?explosion;
????????????object_explosion_timer?<=?0;
????????}?else?if?(up?down?hash?||?base?||?bullets)?{?//?bullets:?if?own?bullets,?enemy?bullets;?if?enemy?bullets,?own?bullets
????????????erase?bullet_mark;?//?physical?erase
????????????show?bullet_explosion_mark;
????????????bullet_explosion_timer?<=?1;?//?start?timer
????????}?else?{?//?if?no?collision
????????????advance?bullet;?//?if?no?collision,?advance?the?bullet;?//?if?no?collision,?advance?the?bullet
????????}
????}
}

另一方面,該對(duì)象需要專門的處理,如下面的代碼所示。

if(objectstate==explosion){
if(object_explosion_timer==0){
object_explosion_timer<=?1;?//?start?timer
????????object?explosion?sound;
????????show?object_explosion_mark?;
????}?else?{
????????object_explosion_timer++;
????????if?(object_explosion_timer?==?MAX)?{
???????????delete?object;?//?logical?deletion
???????????erase?object_explosion_mark;?//?physical?erasure
????????}
????}
}

通過(guò)應(yīng)用 StmtFSM,可以將項(xiàng)目符號(hào)序列描述為一種算法,而無(wú)需將其分解為逐個(gè)時(shí)鐘狀態(tài)。我用類C語(yǔ)言編寫了上面的偽代碼,但我只需要將BSV中的'{'更改為seq ,將'}'更改為endseq 。if、while、for等控制語(yǔ)法由bsc進(jìn)行行為綜合,并轉(zhuǎn)換為Verilog中的狀態(tài)機(jī)。

游戲完成

下面的動(dòng)圖展示了可能是世界上第一部由 BSV 設(shè)計(jì)的《太空入侵者》游戲的視頻。

a5dae8ce-1482-11ee-962d-dac502259ad0.gif

完整的入侵者層次結(jié)構(gòu)如下圖所示。Button_0 只是一個(gè)對(duì)板載開(kāi)關(guān)和外部開(kāi)關(guān)進(jìn)行或運(yùn)算的電路。入侵者_(dá)移動(dòng)_0是BSV設(shè)計(jì)的游戲FSM。blk_mem_gen_0是連接到游戲FSM的ROM,用于存儲(chǔ)入侵者的模式等。

a6478a10-1482-11ee-962d-dac502259ad0.png

BSV的優(yōu)勢(shì)

雖然 BSV 看起來(lái)效率更高,但它增加了 bsc 的編譯時(shí)間,對(duì)于大型設(shè)計(jì)來(lái)說(shuō)可能會(huì)超過(guò)一個(gè)小時(shí)。因此,對(duì)于這樣的設(shè)計(jì),修改、模型創(chuàng)建和仿真的TAT(周轉(zhuǎn)時(shí)間)將非常長(zhǎng),這可能使其效率低于Verilog。然而,Bluesim 中的仿真速度比 iverilog 中快約 3, 000 倍。

總結(jié)

代碼如下:

?

https://github.com/mocapapa/SpaceInvaders_BSV_Ultra96v2

?

對(duì)于這一“語(yǔ)言”,其發(fā)展肯定會(huì)在某一方面有突出優(yōu)點(diǎn),之后才能被慢慢接受,類似SpinalHDL/CHISEL等,但是該門語(yǔ)言目前未在業(yè)內(nèi)受到重視,但是未來(lái)的發(fā)展我抱有希望~

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 電路設(shè)計(jì)
    +關(guān)注

    關(guān)注

    6637

    文章

    2398

    瀏覽量

    201138
  • 編程語(yǔ)言
    +關(guān)注

    關(guān)注

    10

    文章

    1916

    瀏覽量

    34379

原文標(biāo)題:開(kāi)源的Bluespec SystemVerilog (BSV)語(yǔ)言表現(xiàn)如何?

文章出處:【微信號(hào):Open_FPGA,微信公眾號(hào):OpenFPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    C語(yǔ)言實(shí)際的應(yīng)用是什么?

    C語(yǔ)言實(shí)際的應(yīng)用是什么?
    發(fā)表于 11-11 06:28

    LabVIEW實(shí)際的應(yīng)用

    LabVIEW 實(shí)際的應(yīng)用蔣逢春,王鑫(商丘師范學(xué)院物理系,河南商丘47600)摘要:通過(guò)實(shí)側(cè)介紹了LabVIEW 的使用方法.并對(duì)結(jié)果進(jìn)行了分析。關(guān)鍵詞:虛擬儀器程序f控制
    發(fā)表于 08-06 22:07 ?356次下載

    從測(cè)算家庭電費(fèi)說(shuō)起-了解功率實(shí)際的應(yīng)用

    1、結(jié)合實(shí)例理解功率的概念。了解功率實(shí)際的應(yīng)用。2、理解電功率和電流、電壓之間的關(guān)系,并能進(jìn)行簡(jiǎn)單計(jì)算。能區(qū)分用電器的額定功率和實(shí)際功率。3、通過(guò)實(shí)驗(yàn)探究
    發(fā)表于 03-06 23:17 ?22次下載

    擴(kuò)頻通信技術(shù)實(shí)際的應(yīng)用

    通過(guò)介紹擴(kuò)頻通信技術(shù)的概念及原理來(lái)研究它是如何在實(shí)際應(yīng)用的。
    發(fā)表于 11-07 18:20 ?93次下載
    擴(kuò)頻通信技術(shù)<b class='flag-5'>在</b><b class='flag-5'>實(shí)際</b><b class='flag-5'>中</b>的應(yīng)用

    幣安明確提出下架BSV之后對(duì)幣市產(chǎn)生了什么影響

    與此同時(shí),Kraken交易所發(fā)起了下架BSV的投票,73%的人同意下架,Kraken順應(yīng)民意調(diào)查,已于昨日晚間宣布下架BSV。隨后,shapeshift交易所也決定在兩天內(nèi)下架BSV。 而
    發(fā)表于 04-18 10:48 ?2823次閱讀

    BU全節(jié)點(diǎn)客戶端將不再支持BSV區(qū)塊鏈的運(yùn)行

    BSV區(qū)塊鏈誕生初期,BU開(kāi)發(fā)組并沒(méi)有直接進(jìn)行表態(tài)支持,而是選擇進(jìn)行用戶投票(BUIP098),由用戶和礦工選擇是否繼續(xù)支持BSV,根據(jù)投票結(jié)果,BU實(shí)施了兩套共識(shí)規(guī)則。開(kāi)發(fā)組在其中一套共識(shí)規(guī)則
    發(fā)表于 07-23 10:16 ?1389次閱讀

    BSV52L NPN雙極晶體管

    電子發(fā)燒友網(wǎng)為你提供ON Semiconductor(ti)BSV52L相關(guān)產(chǎn)品參數(shù)、數(shù)據(jù)手冊(cè),更有BSV52L的引腳圖、接線圖、封裝手冊(cè)、中文資料、英文資料,BSV52L真值表,BSV
    發(fā)表于 08-04 03:02
    <b class='flag-5'>BSV</b>52L NPN雙極晶體管

    英特爾推出6核/6線程Core i5-9600KF的CPU實(shí)際表現(xiàn)口號(hào)

    12月10日消息,AMD推出銳龍3代之后,由于架構(gòu)改進(jìn)和核數(shù)增加,跑分軟件上常常勝于英特爾同級(jí)別產(chǎn)品。而在英特爾這邊,他們更加注重的是CPU的實(shí)際表現(xiàn)。
    的頭像 發(fā)表于 12-10 14:26 ?5010次閱讀

    BSV才是真正的比特幣BTC并不是比特幣

    北京時(shí)間本周二上午十點(diǎn)左右,BSV 網(wǎng)絡(luò)進(jìn)行了升級(jí),通過(guò)加入 Genesis 規(guī)則使區(qū)塊鏈“修復(fù)回到本聰愿景的比特幣協(xié)議”。
    發(fā)表于 02-06 14:25 ?5593次閱讀

    LDO和開(kāi)關(guān)電源實(shí)際電路的使用

    本文主要是了解LDO和開(kāi)關(guān)電源實(shí)際電路的使用,后續(xù)具體細(xì)節(jié)慢慢添加和修正。
    的頭像 發(fā)表于 10-13 14:09 ?9889次閱讀
    LDO和開(kāi)關(guān)電源<b class='flag-5'>在</b><b class='flag-5'>實(shí)際</b>電路<b class='flag-5'>中</b>的使用

    蘋果M1 Mac實(shí)際應(yīng)用表現(xiàn)如何?

    隨著全新的 Mac 產(chǎn)品陸續(xù)來(lái)到用戶手中,評(píng)測(cè)也陸續(xù)公開(kāi),M1 芯片的實(shí)力已經(jīng)多方位地展示了我們面前。 我們已經(jīng)知道,新款 M1 Mac 的跑分非常出色,那么它們實(shí)際應(yīng)用
    的頭像 發(fā)表于 11-25 15:51 ?1816次閱讀

    電站的實(shí)際表現(xiàn)與等效小時(shí)的對(duì)比表現(xiàn)如何

    ,電站實(shí)際建成后該電站的實(shí)際表現(xiàn)與等效小時(shí)的對(duì)比表現(xiàn)如何呢? 01 理論年等效小時(shí)數(shù) 利用PVsyst里Meteonorm(1991-2000)氣象數(shù)據(jù),得到下列水平和最佳斜面的太陽(yáng)能
    的頭像 發(fā)表于 07-01 10:18 ?7050次閱讀
    電站的<b class='flag-5'>實(shí)際</b><b class='flag-5'>表現(xiàn)</b>與等效小時(shí)的對(duì)比<b class='flag-5'>表現(xiàn)</b>如何

    開(kāi)源的Bluespec SystemVerilog (BSV)語(yǔ)言表現(xiàn)如何?

    Bluespec SystemVerilog (BSV) 是由Arvind 開(kāi)發(fā)的 Bluespec 語(yǔ)言,這是一種高級(jí)功能 硬件 描述編程語(yǔ)言,本質(zhì)上是Haskell(Haskell ( / ?h
    的頭像 發(fā)表于 06-27 10:14 ?616次閱讀
    開(kāi)源的Bluespec SystemVerilog (<b class='flag-5'>BSV</b>)語(yǔ)言<b class='flag-5'>表現(xiàn)</b>如何?

    NTC熱敏電阻實(shí)際使用的故障表現(xiàn)及其對(duì)策

    NTC熱敏電阻實(shí)際使用的故障表現(xiàn)及其對(duì)策
    的頭像 發(fā)表于 08-17 14:40 ?1827次閱讀
    NTC熱敏電阻<b class='flag-5'>在</b><b class='flag-5'>實(shí)際</b>使用<b class='flag-5'>中</b>的故障<b class='flag-5'>表現(xiàn)</b>及其對(duì)策

    光耦實(shí)際使用的注意事項(xiàng)

    光耦,即光電耦合器,是一種以光為媒介傳輸電信號(hào)的電一光一電轉(zhuǎn)換器件。實(shí)際使用,為了確保光耦的穩(wěn)定性和可靠性,需要注意多個(gè)方面的因素。以下是對(duì)光耦
    的頭像 發(fā)表于 08-15 10:52 ?287次閱讀