0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

STA-0.靜態(tài)時(shí)序分析概述

冬至子 ? 來(lái)源:RTL2GDS ? 作者:Benjamin ? 2023-06-27 11:43 ? 次閱讀

靜態(tài)時(shí)序分析(Static Timing Analysis, 以下統(tǒng)一簡(jiǎn)稱 STA )是驗(yàn)證數(shù)字集成電路時(shí)序是否合格的一種方法,其中需要進(jìn)行大量的數(shù)字計(jì)算,需要依靠工具進(jìn)行,但是我們必須了解其中的原理。

在綜合工具(DC/Genus 等),布局布線工具(ICC2/Innovus 等),時(shí)序分析工具(PrimeTime/Tempus 等)中都嵌入了不同的STA引擎,這些引擎往往在時(shí)間和精度方面有一些折衷,但是目的就是以盡量小的誤差去模擬物理器件和繞線的SPICE模型,從而更接近芯片生產(chǎn)出來(lái)后真實(shí)的性能。

為了更好地理解STA,有必要提一下時(shí)序仿真,它是另外一種驗(yàn)證數(shù)字集成電路時(shí)序是否合格的方法。下面用一張表來(lái)對(duì)比一下這兩者之間的區(qū)別:

  • 第一點(diǎn)是激勵(lì)波形,STA是不需要的激勵(lì)波形的,但是需要SDC(Synopsys Design Constraint,時(shí)序約束),后續(xù)的文章會(huì)具體介紹SDC的內(nèi)容, 而時(shí)序仿真時(shí)嚴(yán)重依賴激勵(lì)波形的;
  • 第二點(diǎn)是完整度,STA能夠?qū)?a href="http://www.ttokpm.com/v/tag/8791/" target="_blank">數(shù)字電路中所有的時(shí)序路徑進(jìn)行全面的檢查,而時(shí)序仿真在覆蓋率上有一定限制;
  • 第三點(diǎn)是效率,STA的比較簡(jiǎn)單,速度更快,而生成仿真需要的激勵(lì),建立仿真環(huán)境可能費(fèi)時(shí)費(fèi)力;
  • 第四點(diǎn)是魯棒性,STA能夠考慮到電路中串?dāng)_噪聲以及OCV(On Chip Violation, 片上偏差)的影響,提高芯片制成后的良率,而時(shí)序仿真做不到這一點(diǎn)。

1.jpg

既然,STA在數(shù)字集成電路中如此不可或缺,那具體是由哪些人負(fù)責(zé),又是做什么具體的工作呢?關(guān)于這個(gè)問(wèn)題,在不同的公司各有不同,但是負(fù)責(zé)STA的人一般都會(huì)同時(shí)負(fù)責(zé)綜合,生成SDC,標(biāo)準(zhǔn)單元工藝庫(kù)的選擇,時(shí)序簽核(Timing Signoff)及相關(guān)標(biāo)準(zhǔn)的制定等等。他們需要對(duì)設(shè)計(jì)有一定了解,更加需要對(duì)工藝的時(shí)序特性有全面地掌握,在系統(tǒng)性能指標(biāo)的定義時(shí)需要提供參考意見(jiàn)。作為芯片時(shí)序性能檢查的最后的把關(guān)人,需要一定經(jīng)驗(yàn)的積累,同時(shí)也需要敏銳發(fā)現(xiàn)并解決潛在新問(wèn)題的能力。

當(dāng)然,STA也有它的局限性,需要通過(guò)仿真進(jìn)行交叉驗(yàn)證。下面簡(jiǎn)單列舉幾個(gè)方面:

  • STA針對(duì)的是數(shù)字電路,和模擬電路相關(guān)的路徑無(wú)法通過(guò)STA驗(yàn)證
  • 數(shù)字電路中產(chǎn)生的不定態(tài)在STA不會(huì)驗(yàn)證,這個(gè)需要通過(guò)仿真進(jìn)行仔細(xì)檢查確認(rèn)
  • 電路中不同狀態(tài)機(jī)之間的同步需求不能通過(guò)STA來(lái)驗(yàn)證
  • 對(duì)時(shí)鐘生成電路的驗(yàn)證無(wú)法通過(guò)STA完成
  • 時(shí)序約束中會(huì)有例外情況,需要人工處理
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • SoC芯片
    +關(guān)注

    關(guān)注

    1

    文章

    584

    瀏覽量

    34758
  • OCV
    OCV
    +關(guān)注

    關(guān)注

    0

    文章

    25

    瀏覽量

    12499
  • SPICE仿真
    +關(guān)注

    關(guān)注

    1

    文章

    26

    瀏覽量

    6377
  • 靜態(tài)時(shí)序分析

    關(guān)注

    0

    文章

    28

    瀏覽量

    9561
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    靜態(tài)時(shí)序分析STA的優(yōu)點(diǎn)以及缺點(diǎn)分別有哪些呢

    靜態(tài)時(shí)序分析STA是什么?靜態(tài)時(shí)序分析
    發(fā)表于 11-02 07:51

    靜態(tài)時(shí)序分析與邏輯(華為內(nèi)部培訓(xùn)資料)

    靜態(tài)時(shí)序概念,目的 靜態(tài)時(shí)序分析路徑,方法 靜態(tài)時(shí)序
    發(fā)表于 07-09 18:28 ?130次下載

    靜態(tài)時(shí)序分析在高速 FPGA設(shè)計(jì)中的應(yīng)用

    介紹了采用STA (靜態(tài)時(shí)序分析)對(duì)FPGA (現(xiàn)場(chǎng)可編程門陣列)設(shè)計(jì)進(jìn)行時(shí)序驗(yàn)證的基本原理,并介紹了幾種與
    發(fā)表于 05-27 08:58 ?70次下載
    <b class='flag-5'>靜態(tài)</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>在高速 FPGA設(shè)計(jì)中的應(yīng)用

    靜態(tài)時(shí)序分析基礎(chǔ)及應(yīng)用

    _靜態(tài)時(shí)序分析(Static_Timing_Analysis)基礎(chǔ)及應(yīng)用[1]。
    發(fā)表于 05-09 10:59 ?31次下載

    靜態(tài)時(shí)序分析基礎(chǔ)及應(yīng)用

    靜態(tài)時(shí)序分析基礎(chǔ)及應(yīng)用
    發(fā)表于 01-24 16:54 ?7次下載

    時(shí)序分析基本概念——STA概述簡(jiǎn)析

    時(shí)序分析基本概念介紹——STA概述,動(dòng)態(tài)時(shí)序分析,主要是通過(guò)輸入向量作為激勵(lì),來(lái)驗(yàn)證整個(gè)設(shè)計(jì)的
    的頭像 發(fā)表于 12-14 17:01 ?2.9w次閱讀
    <b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>基本概念——<b class='flag-5'>STA</b><b class='flag-5'>概述</b>簡(jiǎn)析

    靜態(tài)時(shí)序分析基礎(chǔ)與應(yīng)用

    STA的簡(jiǎn)單定義如下:套用特定的時(shí)序模型(Timing Model),針對(duì)特定電路分析其是否違反設(shè)計(jì)者給定的時(shí)序限制(Timing Constraint)。以
    發(fā)表于 04-03 15:56 ?10次下載

    FPGA進(jìn)行靜態(tài)時(shí)序分析

    靜態(tài)時(shí)序分析簡(jiǎn)稱STA,它是一種窮盡的分析方法,它按照同步電路設(shè)計(jì)的要求,根據(jù)電路網(wǎng)表的拓?fù)浣Y(jié)構(gòu),計(jì)算并檢查電路中每一個(gè)DFF(觸發(fā)器)的建
    發(fā)表于 09-01 10:45 ?3147次閱讀
    FPGA進(jìn)行<b class='flag-5'>靜態(tài)</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>

    正點(diǎn)原子FPGA靜態(tài)時(shí)序分析時(shí)序約束教程

    靜態(tài)時(shí)序分析是檢查芯片時(shí)序特性的一種方法,可以用來(lái)檢查信號(hào)在芯片中的傳播是否符合時(shí)序約束的要求。相比于動(dòng)態(tài)
    發(fā)表于 11-11 08:00 ?60次下載
    正點(diǎn)原子FPGA<b class='flag-5'>靜態(tài)</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>與<b class='flag-5'>時(shí)序</b>約束教程

    靜態(tài)時(shí)序分析的基礎(chǔ)與應(yīng)用的詳細(xì)說(shuō)明

    在制程進(jìn)入深次微米世代之后,晶片(IC)設(shè)計(jì)的高復(fù)雜度及系統(tǒng)單晶片(SOC)設(shè)計(jì)方式興起。此一趨勢(shì)使得如何確保IC品質(zhì)成為今日所有設(shè)計(jì)從業(yè)人員不得不面臨之重大課題。靜態(tài)時(shí)序分析(Static
    發(fā)表于 01-14 16:04 ?3次下載
    <b class='flag-5'>靜態(tài)</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>的基礎(chǔ)與應(yīng)用的詳細(xì)說(shuō)明

    時(shí)序分析靜態(tài)分析基礎(chǔ)教程

    本文檔的主要內(nèi)容詳細(xì)介紹的是時(shí)序分析靜態(tài)分析基礎(chǔ)教程。
    發(fā)表于 01-14 16:04 ?14次下載
    <b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>的<b class='flag-5'>靜態(tài)</b><b class='flag-5'>分析</b>基礎(chǔ)教程

    基本的時(shí)序約束和STA操作流程

    一、前言 無(wú)論是FPGA應(yīng)用開(kāi)發(fā)還是數(shù)字IC設(shè)計(jì),時(shí)序約束和靜態(tài)時(shí)序分析STA)都是十分重要的設(shè)計(jì)環(huán)節(jié)。在FPGA設(shè)計(jì)中,可以在綜合后和實(shí)
    的頭像 發(fā)表于 08-10 09:33 ?5384次閱讀
    基本的<b class='flag-5'>時(shí)序</b>約束和<b class='flag-5'>STA</b>操作流程

    FPGA靜態(tài)時(shí)序分析詳解

    靜態(tài)時(shí)序分析簡(jiǎn)稱STA,它是一種窮盡的分析方法,它按照同步電路設(shè)計(jì)的要求,根據(jù)電路網(wǎng)表的拓?fù)浣Y(jié)構(gòu),計(jì)算并檢查電路中每一個(gè)DFF(觸發(fā)器)的建
    的頭像 發(fā)表于 09-27 14:45 ?2586次閱讀

    靜態(tài)時(shí)序分析的相關(guān)概念

    ??本文主要介紹了靜態(tài)時(shí)序分析 STA
    的頭像 發(fā)表于 07-04 14:40 ?1036次閱讀
    <b class='flag-5'>靜態(tài)</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>的相關(guān)概念

    STA分析-從一個(gè)案例開(kāi)始

    靜態(tài)時(shí)序分析STA)是用來(lái)分析數(shù)字電路是否滿足時(shí)序目標(biāo)的技術(shù)手段之一。比如,檢查CPU電路是否
    的頭像 發(fā)表于 07-05 15:01 ?1465次閱讀
    <b class='flag-5'>STA</b><b class='flag-5'>分析</b>-從一個(gè)案例開(kāi)始