0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

35000+字!這是小編見過(guò)寫半導(dǎo)體產(chǎn)業(yè)鏈最全面的文章?。ㄍ扑])

傳感器專家網(wǎng) ? 來(lái)源: 果殼硬科技 ? 作者: 果殼硬科技 ? 2023-06-28 08:38 ? 次閱讀

芯片承載著人類最先進(jìn)的科技。如今中國(guó)已成為芯片設(shè)計(jì)強(qiáng)國(guó),但在芯片制造上卻處處被卡,芯片制造究竟難在哪里? 時(shí)至今日,芯片已形成一套非常成熟專精的制造流程[1],它并非簡(jiǎn)單地一步到位,而是分為存在一定時(shí)間間隔和空間次序的多個(gè)階段[2]。大體來(lái)說(shuō),芯片制造分為晶圓加工制造、前道工藝(芯片加工)及后道工藝(封裝測(cè)試)三大環(huán)節(jié),我國(guó)主要集中切入晶圓加工制造、后道封裝測(cè)試兩個(gè)環(huán)節(jié),前道工藝大部分高端設(shè)備和材料基本均處于空白狀態(tài),所以高端芯片往往需要進(jìn)口。

付斌 | 作者

李拓 | 編輯

果殼硬科技 | 策劃

1736521e-154c-11ee-9c1d-dac502259ad0.png

晶圓制造:先有晶圓后有芯

若想獲得一顆芯片,要先將石英砂做成薄薄的晶圓片(或者說(shuō)襯底),再進(jìn)行后續(xù)加工,最后切割為芯片。

因此,晶圓加工制造是半導(dǎo)體產(chǎn)業(yè)最上游、最基礎(chǔ)的行業(yè),又分為硅的初步純化、單晶硅的制造以及晶圓制造三個(gè)子產(chǎn)業(yè)。

1740bf10-154c-11ee-9c1d-dac502259ad0.png

集成電路的生產(chǎn)過(guò)程:從石英砂到芯片[4]

晶圓與威化餅干的英文都是wafer,這并非巧合, 打個(gè)比方來(lái)說(shuō),生產(chǎn)晶圓就像生產(chǎn)薄脆餅干,將面粉過(guò)篩,再與調(diào)料和水混合,經(jīng)過(guò)攪拌成面團(tuán)后,輥印成型成餅胚,再切割而成。晶圓制造也是同理,只不過(guò),晶圓制造對(duì)原材料和工藝的要求極為嚴(yán)苛和復(fù)雜。

由于硅在地殼中占比達(dá)到25.8%,儲(chǔ)量豐富且易于獲取,因此硅基半導(dǎo)體是產(chǎn)量最大、應(yīng)用最廣的半導(dǎo)體材料。但并非所有硅都能做芯片,芯片制程工藝的尺度已達(dá)到納米級(jí),任何細(xì)微的雜質(zhì)都會(huì)影響芯片正常工作,因此芯片制造中使用的硅是純度達(dá)到99.9999999%~99.999999999%(9~11個(gè)9)的高純多晶硅。

不同芯片需要不同類型晶圓,就像是生產(chǎn)不同口味薄脆餅干,根據(jù)不同指標(biāo),晶圓分為多種類型。

175033c8-154c-11ee-9c1d-dac502259ad0.png

半導(dǎo)體硅晶圓分類[5]

根據(jù)工藝,晶圓可粗略地分為拋光片、外延片、SOI片三大類。無(wú)論做成什么樣的晶圓,其原點(diǎn)都是拋光片,因?yàn)槠渌愋途A均是在拋光片基礎(chǔ)上二次加工的產(chǎn)物,比如在拋光片基礎(chǔ)上進(jìn)行退火處理就變?yōu)橥嘶鹌蓳碛蟹浅7彪s的分支。

17639738-154c-11ee-9c1d-dac502259ad0.png

晶圓片主要類型及特點(diǎn),制表丨果殼硬科技

資料來(lái)源丨上海硅產(chǎn)業(yè)招股書[6]

不同類型晶圓片生產(chǎn)流程極為復(fù)雜:

拋光片生產(chǎn)環(huán)節(jié)包含拉晶、滾圓、切割、研磨、蝕刻、拋光、清洗等工藝;

相對(duì)于其他工藝過(guò)程,每片晶圓的每道工藝只需1美元,外延生長(zhǎng)每片晶圓大約需要20~100美元,所以外延工藝是集成電路制造中最昂貴的工藝過(guò)程之一[7],外延片主要為在拋光片的基礎(chǔ)上進(jìn)行外延生長(zhǎng);

SOI片主要采用鍵合或離子注入等方式制作。[6]

176d17a4-154c-11ee-9c1d-dac502259ad0.png

半導(dǎo)體拋光片、外延片工藝流程圖[6]

177a6fa8-154c-11ee-9c1d-dac502259ad0.png

SOI片的工藝流程[6]

根據(jù)直徑,晶圓又分為2英寸(50mm)、3英寸(75mm)、4英寸(100mm)、5英寸(125mm)、6英寸(150mm)、8英寸(200mm)與 12英寸(300mm)等規(guī)格。

晶圓尺寸越大,每片晶圓可制造芯片數(shù)量就越多,單位芯片成本就越低。就像一張餅,餅越大,就能切出來(lái)越多同樣大小的小塊。

此外,在晶圓上切割芯片,一些邊緣區(qū)域無(wú)法利用,想象一下,在圓上切方,邊緣不可能切出完整的方形。無(wú)論用哪種晶圓生產(chǎn),芯片尺寸規(guī)格都已固定,因此晶圓尺寸越大,晶圓邊緣損失也會(huì)越小,大尺寸晶圓可進(jìn)一步降低芯片成本。

那么,既然圓形的晶圓邊緣有這么多區(qū)域無(wú)法利用,為什么不做成“晶方”?其實(shí)科學(xué)家并不是沒有想過(guò)這個(gè)問(wèn)題,而是受制于技術(shù)限制,成為歷史遺留問(wèn)題。

首先,單晶生長(zhǎng)的硅棒是圓柱形,切割為薄片后即為圓形;其次,圓柱形的單晶硅錠更便于運(yùn)輸,以免因磕碰導(dǎo)致材料損耗;另外,圓形物體便于后續(xù)步驟的操作;最后,即便制作成晶方,一些邊緣仍然不可利用,計(jì)算表明,圓形邊緣比方形浪費(fèi)更少。[8]

17848a42-154c-11ee-9c1d-dac502259ad0.png

全球不同尺寸晶圓出貨面積占比[6]

以8英寸與12英寸硅拋光片為例,在同樣工藝條件下,12英寸晶圓可使用面積超過(guò)8英寸晶圓兩倍以上,可使用率(衡量單位晶圓可生產(chǎn)芯片數(shù)量的指標(biāo))是8英寸硅片的2.5倍左右。[6]

當(dāng)然,晶圓尺寸越大,就越難造,對(duì)生產(chǎn)技術(shù)、設(shè)備、材料、工藝要求就越多。具體來(lái)說(shuō),關(guān)鍵技術(shù)指標(biāo)包括局部平整度、邊緣局部平整度、納米形貌、氧含量、高度徑向二階導(dǎo)數(shù)等,而先進(jìn)制程對(duì)晶圓翹曲度、彎曲度、電阻率、表面金屬殘余量等參數(shù)指標(biāo)有更高要求。

179ef85a-154c-11ee-9c1d-dac502259ad0.png

8英寸拋光片與12英寸拋光片對(duì)比[6]

不只有硅能做成晶圓,目前,半導(dǎo)體材料已經(jīng)發(fā)展到第四代。第一代半導(dǎo)體材料以Si(硅)、Ge(鍺)為代表,第二代半導(dǎo)體材料以GaAs(砷化鎵)、InP(磷化銦)為代表,第三代半導(dǎo)體材料以GaN(氮化鎵)、SiC(碳化硅)為代表,第四代半導(dǎo)體材料以氮化鋁(AlN)、氧化鎵(Ga2O3)、金剛石(C)為代表。

不過(guò),目前仍有90%以上芯片需使用半導(dǎo)體硅片作為襯底片。

縱觀全球硅片市場(chǎng),主要由國(guó)際廠商占據(jù),市場(chǎng)集中度高,2021年全球硅片市場(chǎng)CR5為94%,排名前五廠商分別為日本信越化學(xué)(Shin-Etsu)、 日本勝高(SUMCO)、中國(guó)臺(tái)灣環(huán)球晶圓(Global Wafers)、德國(guó)世創(chuàng)(Siltronic)、 韓國(guó)鮮京矽特?。⊿K Siltron)。[9]

反觀國(guó)內(nèi)方面,技術(shù)薄弱、業(yè)務(wù)規(guī)模小、集中度較低,產(chǎn)品多以8英寸及以下為主,國(guó)內(nèi)半導(dǎo)體硅片企業(yè)主要包括滬硅產(chǎn)業(yè)、中環(huán)股份、立昂微、中晶科技、有研硅、麥斯克等,單一廠商市場(chǎng)占有率均不超過(guò)10%,且以8英寸及以下尺寸硅片為主。12英寸晶圓是近兩年中國(guó)產(chǎn)業(yè)重點(diǎn):比如,粵芯半導(dǎo)體是專注于模擬芯片領(lǐng)域和進(jìn)入全面量產(chǎn)的12英寸芯片制造企業(yè),計(jì)劃總投資370億元[10];再如,增芯科技月加工2萬(wàn)片12英寸智能傳感器晶圓量產(chǎn)線項(xiàng)目,共投資70億元。[11]

從數(shù)據(jù)上來(lái)看,國(guó)產(chǎn)硅片市場(chǎng)規(guī)模2019年~2021年連續(xù)超過(guò)10億美元,2021年達(dá)16.56億美元,同比增長(zhǎng)24.04%,預(yù)計(jì)2022年可達(dá)19.22億美元。[12]

17b248c4-154c-11ee-9c1d-dac502259ad0.png

2021年全球硅片市場(chǎng)格局[9]

從全球第二代半導(dǎo)體(GaAs、InP)襯底和第三代半導(dǎo)體(GaN、SiC)襯底情況來(lái)看,國(guó)內(nèi)已擁有大量相關(guān)企業(yè),但整體產(chǎn)能規(guī)模與國(guó)際存在差距。

17bf3764-154c-11ee-9c1d-dac502259ad0.png

第二代半導(dǎo)體、第三代半導(dǎo)體晶圓襯底全球市場(chǎng)及國(guó)內(nèi)發(fā)展情況對(duì)比,制表丨果殼硬科技

參考資料SIMIT戰(zhàn)略研究室[13]

17ca64d6-154c-11ee-9c1d-dac502259ad0.png

前道工藝:設(shè)備堆出來(lái)工藝

“這里好像我想象中的天堂……只不過(guò)有更多的機(jī)器人?!边@是一位專家對(duì)于半導(dǎo)體制造工廠的評(píng)價(jià)。[14]

首先,有設(shè)備才能談制造,在晶圓廠資本開支中,晶圓加工設(shè)備的資本開支也最大,占比為70%~80%。[15]

17d3a460-154c-11ee-9c1d-dac502259ad0.png

集成電路制造領(lǐng)域典型資本開支結(jié)構(gòu)[15]

芯片生產(chǎn)過(guò)程中,有成千上萬(wàn)臺(tái)工藝設(shè)備在同時(shí)運(yùn)行,可以說(shuō),造設(shè)備難,讓這些設(shè)備有秩序地生產(chǎn)起來(lái)更難。

芯片前期工藝包括光刻、干蝕刻、濕蝕刻、化學(xué)氣相沉積、物理氣相沉積、等離子沖洗、濕洗、熱處理、電鍍處理、化學(xué)表面處理和機(jī)械表面處理等,其中多個(gè)工藝會(huì)重復(fù)使用,非常復(fù)雜。

每個(gè)前期工藝都對(duì)應(yīng)著相應(yīng)設(shè)備,包括***、涂膠顯影機(jī)、刻蝕機(jī)、薄膜沉積設(shè)備、離子注入設(shè)備、熱處理設(shè)備(氧化退火設(shè)備)、化學(xué)機(jī)械平攤(CMP)設(shè)備、清洗設(shè)備、過(guò)程檢測(cè)設(shè)備等。

17eb6dd4-154c-11ee-9c1d-dac502259ad0.png

半導(dǎo)體制造及半導(dǎo)體材料產(chǎn)業(yè)環(huán)節(jié)示意圖[9]

前期加工中,設(shè)備主要圍繞制程工藝選型,也就是時(shí)常被提起的28nm、14nm、10nm、7nm、4nm、3nm……制程越小,制造越困難,對(duì)設(shè)備要求也越高。目前,28nm是行業(yè)分水嶺,比28nm更先進(jìn)的是先進(jìn)制程,反之則是成熟制程。

制程隨摩爾定律迭代,即芯片上晶體管數(shù)量每隔18~24個(gè)月增加一倍,性能也將提升一倍。

在國(guó)際設(shè)備和系統(tǒng)路線圖(IRDS)中,全面地反應(yīng)了各制程節(jié)點(diǎn)所需系統(tǒng)級(jí)新技術(shù),也就是說(shuō),未來(lái)幾年內(nèi)最先進(jìn)制程需要用到什么設(shè)備也已被決定,而IRDS也會(huì)伴隨制程升級(jí)而不斷更新版本。

18052b8e-154c-11ee-9c1d-dac502259ad0.png

IRDS中對(duì)于未來(lái)制程節(jié)點(diǎn)的技術(shù)規(guī)劃[16]

從價(jià)值分量上來(lái)看,光刻、刻蝕和薄膜沉積是前期加工中最主要三個(gè)環(huán)節(jié),2021年***、刻蝕機(jī)和薄膜沉積設(shè)備(含CVD、ALD、PVD)投資占比分別為20%、25%和22%,合計(jì)占比超設(shè)備總支出的60%。[17]

18119694-154c-11ee-9c1d-dac502259ad0.png

2021年全球半導(dǎo)體設(shè)備價(jià)值量分布[17]

縱觀我國(guó)不同設(shè)備國(guó)產(chǎn)化率,雖然整體有上升趨勢(shì),但整體國(guó)產(chǎn)化率依然較低,上游生產(chǎn)能力極弱。

1821be84-154c-11ee-9c1d-dac502259ad0.png

國(guó)產(chǎn)半導(dǎo)體制造設(shè)備情況概覽,制表丨果殼硬科技

參考資料丨國(guó)海證券[18]

以下,果殼硬科技將對(duì)***、涂膠顯影機(jī)、刻蝕機(jī)、薄膜沉積設(shè)備、熱處理設(shè)備(氧化退火設(shè)備)、離子注入設(shè)備、化學(xué)機(jī)械平攤(CMP)設(shè)備、清洗設(shè)備、過(guò)程檢測(cè)設(shè)備幾類價(jià)值分量最高的九種設(shè)備進(jìn)行詳細(xì)剖析。

***

***是芯片制造中最龐大、最精密復(fù)雜、難度最大、價(jià)格最昂貴的設(shè)備,光刻成本占芯片總制造成本的三分之一,耗費(fèi)時(shí)間約占整個(gè)硅片生產(chǎn)時(shí)間的40%~60%,而它也決定了芯片上晶體管能做多小。[19]

光刻設(shè)備是一種投影曝光系統(tǒng),由紫外光源、光學(xué)鏡片、對(duì)準(zhǔn)系統(tǒng)等部件組裝而成[20],其原理是將光掩模版(Mask)上設(shè)計(jì)好的集成電路圖形(宏觀)通過(guò)光線曝光印制到硅襯底光感材料(微觀)上,實(shí)現(xiàn)圖形轉(zhuǎn)移。其中,光掩模相當(dāng)于是相機(jī)底片,它要比芯片大上許多,也是通過(guò)光刻而來(lái),不過(guò)通常采用無(wú)掩模直寫光刻制造。

光刻的思想來(lái)源自于印刷技術(shù),不同的是,印刷通過(guò)墨水在紙上的光反射率變化記錄信息,光刻則采用光與光敏物質(zhì)的光化學(xué)反應(yīng)實(shí)現(xiàn)對(duì)比度變化[21]。打個(gè)比方來(lái)說(shuō),***就是一種巨型單反相機(jī),能夠?qū)⒐庋谀0嫔蠄D形縮小幾百萬(wàn)倍,并通過(guò)光化學(xué)反應(yīng)縮小轉(zhuǎn)印到晶圓上。[22]

光刻技術(shù)先后經(jīng)歷接觸式光刻、接近式光刻、全硅片掃描投影式光刻、分步重復(fù)投影式光刻到目前的步進(jìn)掃描投影式[23],而光源經(jīng)歷了五次波長(zhǎng)迭代:從最初紫外波段的高壓放電汞燈g-line(436 nm)到 i-line(365 nm),發(fā)展到深紫外(DUV)波段的準(zhǔn)分子激光器KrF(248 nm)以及 ArF(193 nm),再到最先進(jìn)的13.5nm極紫外光(EUV)。[24]

為什么***那么難造,一個(gè)挑戰(zhàn)是進(jìn)一步提升紫外***性能研制難度高、造價(jià)高昂,從第一代***到最先進(jìn)的第五代***,光源波長(zhǎng)已從436nm縮短至13.5nm,除了難以產(chǎn)生光源,光束傳輸中極紫外光的衰減和光學(xué)元件表面粗糙控制都是極大難題;另一個(gè)挑戰(zhàn)是芯片二維密度無(wú)限制提高必然會(huì)遇到量子極限,芯片兩條線上電子的運(yùn)行規(guī)律的前提是不相互干擾,而當(dāng)硅芯片密度在物理尺度上縮小至1nm以下時(shí),將會(huì)受到干擾而不再按照經(jīng)典電子學(xué)規(guī)律運(yùn)動(dòng),這無(wú)疑遭受巨大挑戰(zhàn)。[25]

不止如此,在良率壓力下,還要保證芯片足夠便宜[26]。比如說(shuō),英特爾一顆CPU設(shè)計(jì)文件普遍在10GB以上,而阿斯麥(ASML)的NXT:2050i每小時(shí)可曝光295片300mm(12英寸)晶圓[24],Intel Ice Lake系列CPU單12英寸晶圓能切割出大約485顆芯片,這樣情況下每小時(shí)極限能夠曝光14. 3萬(wàn)顆芯片,這樣的制造能力才能夠?qū)晤wCPU成本降至大眾能承受的幾十到上千美元。[25]

此外,光刻系統(tǒng)涉及的技術(shù)極為細(xì)碎,還包括:

計(jì)算光刻:實(shí)際生產(chǎn)中很難讓每次光刻模式都完全正確,每一次光刻過(guò)程中都可能會(huì)發(fā)生顆粒干擾、折射或其它物理/化學(xué)缺陷,為了得到確切圖案,就需要通過(guò)將算法模型與系統(tǒng)和測(cè)試晶圓數(shù)據(jù)相結(jié)合,這個(gè)過(guò)程被稱作計(jì)算光刻;[27]

對(duì)焦性能:***中核心部件就是鏡頭,這并非一般鏡頭,而是高至2m、直徑1m的龐大鏡頭,這些鏡頭的對(duì)焦性能是成像質(zhì)量和產(chǎn)品良率的關(guān)鍵,隨著芯片線寬不斷縮小,加之二次成像(DP)光刻工藝應(yīng)用越來(lái)越多,對(duì)***對(duì)焦性能要求越來(lái)越嚴(yán)苛;[28]

工藝優(yōu)化:制程節(jié)點(diǎn)每前進(jìn)一步,都會(huì)伴隨大量工藝優(yōu)化,比如說(shuō),制程工藝從20nm/16nm/14nm開始,設(shè)計(jì)規(guī)則周期已小于***分辨率極限,此時(shí)***開始采用雙重或多重曝光技術(shù)、光源掩模協(xié)同優(yōu)化、負(fù)顯影工藝等工藝;浸沒式光刻技術(shù)雖然支持了45nm/40nm、32 nm/28nm、20nm/16nm/14nm、10nm和7nm五個(gè)主要技術(shù)節(jié)點(diǎn)[29],但從5nm開始,到3nm、2.1nm甚至1nm,大多數(shù)中后段層次和前段的鰭和柵極的剪切層次都開始采用極紫外光刻工藝實(shí)現(xiàn)。[30]

186eaaa0-154c-11ee-9c1d-dac502259ad0.png

250nm到1nm 技術(shù)節(jié)點(diǎn)中關(guān)鍵光刻層次的設(shè)計(jì)規(guī)則總結(jié)[30]

***在半導(dǎo)體設(shè)備價(jià)值鏈中占比高達(dá)20%,目前,業(yè)界主要***公司,分別是荷蘭ASML(阿斯麥)、日本Nikon(尼康)、日本Canon(佳能)。[22]

市場(chǎng)方面,ASML、Nikon、Canon三家基本壟斷市場(chǎng),2022年ASML出貨量占據(jù)全球出貨量的82%,Canon占10%,Nikon占8%。其中,ASML***種類齊全,是全球唯一能夠生產(chǎn)EUV***的公司,目前最小制程達(dá)到3nm;Nikon集中于DUV***,也可生產(chǎn)浸沒式***;Canon的產(chǎn)品則集中在中低端。[31]

從具體數(shù)據(jù)來(lái)看,2022年,ASML、Nikon、Canon三家集成電路用***總出貨量為551臺(tái),較2021年的478臺(tái)增長(zhǎng)15%,EUV、ArFi、ArF三個(gè)高端機(jī)型共出貨157臺(tái),較2021年的152臺(tái)增長(zhǎng)約3%。此外,EUV***ASML市占率達(dá)100%,ArFi***ASML市占率達(dá)95%以上,ArF***ASML市占率達(dá)87%以上,KrF***ASML市占率達(dá)72%以上,i線***ASML市占率達(dá)23%以上。[32]

18a02198-154c-11ee-9c1d-dac502259ad0.png

2022年全球半導(dǎo)體***TOP3廠商出貨情況[31]

涂膠顯影機(jī)

涂膠顯影(或涂覆顯影)設(shè)備雖在結(jié)構(gòu)上比不上***的復(fù)雜程度,但也不可或缺,它是光刻過(guò)程中必要的設(shè)備。[21]

對(duì)光刻工藝來(lái)說(shuō),晶圓上光刻膠涂覆的厚度和均勻性至關(guān)重要,直接影響著后續(xù)光刻工藝質(zhì)量,從而影響芯片成品的性能、良率和可靠性[33]。所以,怎么涂好光刻膠是一門學(xué)問(wèn),負(fù)責(zé)涂覆光刻膠的設(shè)備便是涂膠顯影設(shè)備。

不同光源對(duì)涂膠顯影設(shè)備需求不同,早期低端芯片制造往往單獨(dú)使用涂膠顯影設(shè)備(Off Line),隨著200mm(8英寸)及以上大型產(chǎn)線投入應(yīng)用,現(xiàn)代半導(dǎo)體生產(chǎn)中,多數(shù)涂膠顯影設(shè)備與光刻系統(tǒng)聯(lián)線生產(chǎn)(In Line)[34],而它則與光刻技術(shù)共進(jìn)退,正伴隨光刻精度提升而增加技術(shù)難度。

18ab4406-154c-11ee-9c1d-dac502259ad0.png

涂膠顯影設(shè)備隨光刻工藝迭代,技術(shù)難度提升[35]

涂膠顯影設(shè)備并非一種設(shè)備,而是一類設(shè)備的稱呼,光刻工藝中涂膠顯影流程包括HMDS(六甲基二硅氮烷,增粘劑)預(yù)處理、涂膠、前烘、曝光、后烘、顯影和堅(jiān)膜,其中用到主要設(shè)備有涂膠、曝光和顯影3種設(shè)備。

涂膠顯影設(shè)備結(jié)構(gòu)復(fù)雜,實(shí)現(xiàn)難度高,不同廠商對(duì)設(shè)備結(jié)構(gòu)及形式均有自己的理解,但基本均由單元模塊組成,且功能類似,包含數(shù)十個(gè)功能模塊組及配套機(jī)器人、數(shù)百個(gè)功能單元、數(shù)萬(wàn)個(gè)零部件,如盒站單元CS、盒站機(jī)械手臂CSR、工藝機(jī)器人手臂PSR、涂膠單元COT、顯影單元DEV、熱烘/冷卻OVEN單元、對(duì)中單元CA、邊部曝光單元WEE等,此外,還涵蓋機(jī)械運(yùn)動(dòng)、溫濕度及內(nèi)環(huán)境控制、系統(tǒng)調(diào)度及控制、化學(xué)反應(yīng)及化學(xué)品管控等多學(xué)科技術(shù)。[21]

18b76812-154c-11ee-9c1d-dac502259ad0.jpg

光刻工藝流程圖[21]

涂膠顯影機(jī)在半導(dǎo)體設(shè)備價(jià)值鏈中占比約為5%,從全球來(lái)看,日本TEL(東京電子)、德國(guó)SUSS(休斯微技術(shù))、奧地利EVG及國(guó)內(nèi)沈陽(yáng)芯源等公司均有成熟方案,不過(guò)TEL基本處于壟斷地位。

從數(shù)據(jù)上來(lái)看,2019年TEL占據(jù)全球涂膠顯影設(shè)備近87%市場(chǎng)份額,DNS(迪恩士)和其它企業(yè)則占其余13%市場(chǎng)份額;2019年TEL占據(jù)國(guó)內(nèi)涂膠顯影設(shè)備近91%市場(chǎng)份額,DNS則占5%,國(guó)產(chǎn)芯源微產(chǎn)品僅占4%。[35]

18c46d46-154c-11ee-9c1d-dac502259ad0.png

2019年全球和中國(guó)大陸涂膠顯影行業(yè)市場(chǎng)情況[35]

對(duì)國(guó)產(chǎn)來(lái)說(shuō),涂膠顯影設(shè)備銷售難點(diǎn)在于下游客戶端工藝驗(yàn)證,由于涂膠顯影設(shè)備與***高度聯(lián)動(dòng),因此設(shè)備商需在不影響下游晶圓正常生產(chǎn)情況下,提供***、掩模版、檢測(cè)設(shè)備及程序等資源配合,驗(yàn)證流程復(fù)雜且冗長(zhǎng),加大廠商應(yīng)用難度。[36]

刻蝕機(jī)

刻蝕機(jī)與***是一對(duì)好基友,二者都決定著芯片成品的性能,比如說(shuō),想要制造5nm芯片,***和刻蝕機(jī)都要具有5nm工藝能力。

***的原理是用光將掩模版電路結(jié)構(gòu)復(fù)制到晶圓上,刻蝕機(jī)則按***復(fù)制的結(jié)構(gòu)在晶圓上微觀雕刻出溝槽或接觸孔。打個(gè)比方,***就像工匠在木板上劃線,刻蝕機(jī)則按照木板上劃線進(jìn)行雕花。

刻蝕過(guò)程中,晶圓會(huì)被烘烤和顯影,一些抗蝕劑會(huì)被沖走,從而露出開放通道的3D圖案。迄今為止,納米尺度的芯片已由數(shù)十層甚至上百層結(jié)構(gòu)組成,在這一過(guò)程中,如何保證精確地形成完整穩(wěn)定的芯片結(jié)構(gòu)是難點(diǎn),避免在刻蝕過(guò)程中破壞多層微芯片底層結(jié)構(gòu)或在結(jié)構(gòu)中創(chuàng)建出空腔。[27]

刻蝕分為濕法刻蝕和干法刻蝕兩種,濕法刻蝕使用化學(xué)制劑清洗晶圓,干法刻蝕基于氣體暴露晶圓上圖案。自80年代芯片不斷微縮,濕法刻蝕局限性逐漸凸顯,包括不能運(yùn)用在3微米以下圖形、容易導(dǎo)致刻蝕圖形變形、液體潛在毒性和污染、需額外清洗和干燥步驟等,因此在特定環(huán)節(jié)逐漸被干法刻蝕所取代,目前兩種刻蝕機(jī)在各自領(lǐng)域發(fā)揮重要作用。[37]

干法刻蝕又分為等離子體刻蝕、反應(yīng)離子刻蝕、離子束刻蝕三種方法,依據(jù)其不同特性,應(yīng)用在工藝步驟中,其中,電容性等離子體刻蝕和電感性等離子體刻蝕兩種設(shè)備涵蓋了主要刻蝕應(yīng)用。

刻蝕機(jī)制造技術(shù)難度極大,就拿等離子體刻蝕機(jī)來(lái)講,便需用到電感耦合等離子體源,為保證等離子體質(zhì)量,需超高的真空度。

18d6110e-154c-11ee-9c1d-dac502259ad0.png

三種干法刻蝕方法比較[37]

刻蝕機(jī)在半導(dǎo)體設(shè)備價(jià)值鏈中占比高達(dá)25%,市場(chǎng)增速也非常明顯。Transparency Market Research數(shù)據(jù)顯示,2022年全球半導(dǎo)體刻蝕設(shè)備市場(chǎng)約為113億美元,預(yù)計(jì)從2023年到2031年將以7.6%的復(fù)合年增長(zhǎng)率增長(zhǎng),到2031年達(dá)到217億美元,增長(zhǎng)主要驅(qū)動(dòng)力在于刻蝕機(jī)在邏輯/存儲(chǔ)領(lǐng)域的重要性越來(lái)越強(qiáng)。[38]

刻蝕機(jī)被國(guó)際巨頭所壟斷,Gartner數(shù)據(jù)顯示,2021年全球刻蝕設(shè)備行業(yè)前三名為L(zhǎng)am Research(泛林半導(dǎo)體)、Tokyo Electron(東京電子)、Applied Materials(應(yīng)用材料),三者總共占據(jù)90%以上市場(chǎng)份額,其中LAM市場(chǎng)占有率達(dá)46%,處于領(lǐng)先地位。[17]

18e0a844-154c-11ee-9c1d-dac502259ad0.png

2021年全球刻蝕設(shè)備競(jìng)爭(zhēng)格局[17]

國(guó)內(nèi)刻蝕機(jī)供應(yīng)多數(shù)為國(guó)際品牌,而國(guó)外刻蝕機(jī)在中國(guó)售價(jià)一般可達(dá)每臺(tái)幾百萬(wàn)人民幣,之所以占據(jù)這樣的統(tǒng)治地位,是因?yàn)樵缭诙嗄暌郧?,它們就已開始不斷整合兼并,謀取壟斷溢價(jià)。比如說(shuō),應(yīng)用材料公司曾與東京電子合并,泛林半導(dǎo)體曾與科磊也謀求合并,試圖強(qiáng)強(qiáng)聯(lián)合打造聯(lián)合體。[39]

18f0d76e-154c-11ee-9c1d-dac502259ad0.jpg

國(guó)外刻蝕機(jī)主要廠商情況[39]

當(dāng)然,刻蝕機(jī)領(lǐng)域,國(guó)產(chǎn)不可能一蹴而就。刻蝕機(jī)對(duì)加工精度要求極高,比如說(shuō),16nm等離子體刻蝕機(jī)的加工尺度只有頭發(fā)絲的五千分之一,而其對(duì)加工精度和重復(fù)性的要求更要達(dá)到頭發(fā)絲的五萬(wàn)分之一。這并非單獨(dú)刻蝕機(jī)領(lǐng)域的問(wèn)題,而是與國(guó)內(nèi)精密加工機(jī)床等設(shè)備發(fā)展相關(guān)聯(lián)[39]。目前,國(guó)內(nèi)中微半導(dǎo)體、北方微電子、金盛微納科技等公司已逐漸實(shí)現(xiàn)主流制程設(shè)備出貨,不斷追趕國(guó)外巨頭。

18fc2b50-154c-11ee-9c1d-dac502259ad0.jpg

國(guó)內(nèi)刻蝕機(jī)主要廠商情況[39]

薄膜沉積設(shè)備

薄膜沉積(Thinfilm Deposition)是將1μm(微米)或更小分子/原子材料的薄膜覆蓋到晶圓表面的技術(shù),這一層薄膜可以讓原本非導(dǎo)電的晶圓具備導(dǎo)電性。

打個(gè)比方來(lái)說(shuō),就像利用物理或化學(xué)的方法,將電子氣體變成固體,從空中均勻地撒下,最終形成一層薄如白紙的膜,隨后精細(xì)的電路都會(huì)繪制在這張白紙上。[40]

薄膜沉積可以分為物理氣相沉積(Physical Vapor Deposition,PVD)和化學(xué)氣相沉積 (Chemical Vapor Deposition,CVD)兩種。

PVD是通過(guò)物理方法如真空蒸發(fā)、濺射鍍膜等方式形成薄膜,主要用于沉積金屬及金屬化合物薄膜,已廣泛應(yīng)用于集成電路領(lǐng)域的Ti、TiN、Al等金屬工藝,先進(jìn)封裝領(lǐng)域的Fan-out、Ti/Cu-CopperPillar、TiW/Au-Gold Bump,功率半導(dǎo)體領(lǐng)域的Si基、SiC基IGBT和GCT等器件,微機(jī)電系統(tǒng)領(lǐng)域的Ti、Ni、 NiV、Ag、Al、Cr、TiW、SiO2、ITO等薄膜工藝。

CVD是通過(guò)混合化學(xué)氣體發(fā)生反應(yīng),從而向襯底表面沉積薄膜的工藝,主要用于沉積介質(zhì)薄膜,已廣泛應(yīng)用于制備SiO2、Si3N4、SiCN、SiON、磷硅玻璃、硼硅玻璃、硼磷硅玻璃等介質(zhì)薄膜材料,Si、PolySi、Ge、SiGe、GaAs、InP、GaN、SiC等半導(dǎo)體薄膜材料以及W、Al、Cu、Ti、TiN、金屬硅化物等金屬化薄膜材料。[41]

薄膜沉積制備技術(shù)類型極多,PVD包括蒸發(fā)(蒸鍍)、濺射、離子束工藝設(shè)備,CVD則包括熱化學(xué)氣相沉積(APCVD、LPCVD、MOCVD)、金屬氣相沉積(MCVD)、等離子體氣相沉積(PECVD)、原子層沉積(ALD)等,根據(jù)薄膜所需材料不同,生產(chǎn)所用工藝設(shè)備也不同,大致來(lái)說(shuō):

PVD:150mm硅片時(shí)期多以單片單腔室形式為主,而后濺射設(shè)備逐漸取代了真空蒸鍍?cè)O(shè)備,隨IC技術(shù)發(fā)展,更多技術(shù)引入到磁控濺射設(shè)備中,射頻PVD設(shè)備和離子化PVD設(shè)備也同步得到發(fā)展;

CVD:微米時(shí)代,多采用常壓化學(xué)氣相沉積設(shè)備(Atmospheric Pressure CVD,APCVD),亞微米技術(shù)主流設(shè)備則是低壓化學(xué)氣相沉積設(shè)備(Low Pressure CVD,LPCVD),90nm以后等離子體增強(qiáng)化學(xué)氣相沉積設(shè)備(Plasma Enhanced CVD,PECVD)扮演主要角色,65nm以后原子層沉積(Atomic Layer Deposition,ALD)需求量不斷提升。[41]

19127f90-154c-11ee-9c1d-dac502259ad0.png

主要薄膜沉積方法[42]

薄膜沉積在制造設(shè)備中價(jià)值比重很高,其中CVD約為17%(ALD為4%),PVD約為5%,與此同時(shí),薄膜沉積設(shè)備行業(yè)依然是壟斷度較高的產(chǎn)業(yè)。

全球市場(chǎng)方面,CVD領(lǐng)域美國(guó)應(yīng)用材料(AMAT)、泛林半導(dǎo)體(Lam Research)、東京電子(TEL)三家合計(jì)包攬全球70%市場(chǎng)份額,其中先進(jìn)制程所必需的ALD設(shè)備由東京電子(TEL)、先晶半導(dǎo)體(ASM)兩家公司包攬全球近50%市場(chǎng)份額;PVD領(lǐng)域主要被美國(guó)應(yīng)用材料(AMAT)、瑞士Evatec、日本愛發(fā)科(Ulvac)所壟斷,其中應(yīng)用材料占比近85%。[42]

191fb2b4-154c-11ee-9c1d-dac502259ad0.png

CVD、PVD、ALD全球市場(chǎng)格局[41]

國(guó)內(nèi)在薄膜沉積領(lǐng)域的競(jìng)爭(zhēng)方式與國(guó)外巨頭不同,國(guó)外巨頭產(chǎn)品豐富,技術(shù)覆蓋面廣,而國(guó)內(nèi)則主要在細(xì)分領(lǐng)域進(jìn)行差異化競(jìng)爭(zhēng),如拓荊科技、中微主要產(chǎn)品為CVD,北方華創(chuàng)主要產(chǎn)品是PVD,微導(dǎo)納米主要產(chǎn)品是ALD,盛美半導(dǎo)體主要產(chǎn)品是電鍍產(chǎn)品。[41]

熱處理設(shè)備

芯片制造過(guò)程中,有許多涉及700℃~1200℃的高溫?zé)崽幚聿襟E,這些工藝通常在高溫爐中進(jìn)行,包括氧化、擴(kuò)散、退火等主要工藝。[43]

芯片制造過(guò)程通常由氧化工藝開始,也是最重要的加熱過(guò)程之一。當(dāng)晶圓暴露在大氣時(shí),其中物質(zhì)會(huì)與氧氣形成氧化膜,就像鐵暴露在大氣中會(huì)氧化生銹一樣。因此,氧化的作用就是在晶圓表面形成一層保護(hù)膜,保護(hù)晶圓不受化學(xué)雜質(zhì)影響、避免漏電流進(jìn)入電路、預(yù)防離子植入過(guò)程中的擴(kuò)散、防止晶圓在刻蝕時(shí)滑脫。[44]

氧化工藝包括熱氧化(Thermal Oxidation)、等離子體增強(qiáng)化學(xué)氣相沉積法(Plasma Enhanced Chemical Vapor Deposition,PECVD)和電化學(xué)陽(yáng)極氧化等,其中熱氧化是最常用的方法。根據(jù)氧化反應(yīng)使用氣體,熱氧化法又分為干氧化(Dry Oxidation)和濕氧化(Wet Oxidation)。[45]

1931d64c-154c-11ee-9c1d-dac502259ad0.png

氧化工藝分類及特性,制圖丨果殼硬科技

參考資料丨三星[45]

擴(kuò)散工藝主要作用是在高溫條件下對(duì)晶圓摻雜,不過(guò)這主要存在于20世紀(jì)70年代前的早期工藝,彼時(shí)芯片圖形特征尺寸大多為10μm數(shù)量級(jí),而現(xiàn)在先進(jìn)的芯片生產(chǎn)中,除了特定情況已很少使用擴(kuò)散摻雜工藝。

退火工藝則是將硅片放于高溫環(huán)境一段時(shí)間,使其表面或內(nèi)部微觀結(jié)構(gòu)發(fā)生變化,它通常與離子注入、薄膜沉積、金屬硅化物形成等工藝結(jié)合。

用于氧化、擴(kuò)散、退火等加熱工藝的基本設(shè)備有臥式爐、立式爐和快速升溫爐(RTP)三種。

熱處理設(shè)備在半導(dǎo)體設(shè)備價(jià)值鏈中占比約3%,全球熱處理設(shè)備市場(chǎng)則被寡頭壟斷,美國(guó)應(yīng)用材料(Applied Materials)、東京電子(Tokyo Electrion)、日本同業(yè)國(guó)際電氣(Kokusai Electric)三家合計(jì)市場(chǎng)份額超過(guò)80%,而國(guó)內(nèi)非激光退火類設(shè)備屹唐半導(dǎo)體市占率5%,北方華創(chuàng)市占率0.2%。[46]

193d491e-154c-11ee-9c1d-dac502259ad0.png

2018年全球熱工藝設(shè)備競(jìng)爭(zhēng)格局[47]

離子注入設(shè)備

讓不導(dǎo)電的純硅成為半導(dǎo)體,就必然需要向硅內(nèi)加入諸如氮、磷等物質(zhì),使之形成PN結(jié)(PN junction,一種半導(dǎo)體結(jié)構(gòu)),再以此創(chuàng)建晶體管,形成各種半導(dǎo)體器件。其中,人為向硅內(nèi)加入元素的過(guò)程就是摻雜(Doping)。

摻雜工藝十分重要,只有摻雜之后,晶圓才會(huì)具有導(dǎo)電性能,才能稱之為半導(dǎo)體。不僅如此,摻雜也決定了半導(dǎo)體能夠?qū)崿F(xiàn)哪些功能或性能,通過(guò)改變半導(dǎo)體的電導(dǎo)率、載流子類型和濃度、能帶結(jié)構(gòu)等電學(xué)性質(zhì),人們就可以自由自在地控制半導(dǎo)體。

就比如說(shuō),向硅材料內(nèi)摻入五價(jià)元素磷或砷,就可以得到N型半導(dǎo)體,摻如三價(jià)元素硼,就可以得到P型半導(dǎo)體。

芯片制造中,可在前期單晶生長(zhǎng)階段摻入雜質(zhì),如在CVD法生長(zhǎng)過(guò)程中摻入特定施主或受主元素,使薄膜部分原子替換為對(duì)應(yīng)元素。

對(duì)于已經(jīng)制造出來(lái)的晶圓,則主要使用熱擴(kuò)散(Diffusion)和離子注入(Implant)兩種工藝進(jìn)行摻雜,在本文熱處理設(shè)備部分已提到,熱擴(kuò)散工藝因其難以實(shí)現(xiàn)選擇性擴(kuò)散,所以除特定工藝外,基本被離子注入所取代。

離子注入的原理非常簡(jiǎn)單易懂,就是利用高能量電場(chǎng)加速雜質(zhì)離子,直接轟擊到半導(dǎo)體表面,最終擠入晶體內(nèi)部。離子注入設(shè)備就像神槍手一樣,把各種元素精準(zhǔn)均勻地射擊至圓片內(nèi)部,而這也正是離子注入設(shè)備的技術(shù)難點(diǎn),即在不損傷微小結(jié)構(gòu)的前提下精準(zhǔn)控制摻雜劑量和摻雜深度(粒子射程)。

根據(jù)離子束能量范圍和束流大小,離子注入設(shè)備擁有低能、中能、高能、兆伏、小束流、中束流、高束流之分。不過(guò)實(shí)際應(yīng)用中,60%以上情況使用低能大束流離子注入設(shè)備,用來(lái)制造邏輯芯片、DRAM、3D NAND和CIS芯片等;18%使用高能離子注入設(shè)備,用于制造功率器件、IGBT、5G射頻、CIS等;20%使用中束流離子注入設(shè)備。[48]

19547c7e-154c-11ee-9c1d-dac502259ad0.png

離子注入設(shè)備分類及說(shuō)明,制圖丨果殼硬科技

參考資料丨頭豹[49]

離子注入設(shè)備在制造設(shè)備中價(jià)值比重并不大,約為3%,2021全球離子注入設(shè)備市場(chǎng)約為24億~26億美元,長(zhǎng)期估計(jì)2030年市場(chǎng)可成長(zhǎng)至42億美元。[50]

全球離子注入設(shè)備商僅有9家(包含半導(dǎo)體、光伏、面板),具體到半導(dǎo)體領(lǐng)域則被美國(guó)應(yīng)用材料公司(AMAT)和美國(guó)亞舍立科技(Axcelis)所壟斷,兩家公司合計(jì)全球市場(chǎng)占有率將近88%。[49]

1963f85c-154c-11ee-9c1d-dac502259ad0.png

全球離子注入設(shè)備市場(chǎng)情況,制圖丨果殼硬科技

參考資料丨中銀證券[50]

國(guó)內(nèi)市場(chǎng)方面,僅有凱世通和中科信兩家可生產(chǎn)離子注入機(jī),此外,美國(guó)應(yīng)用材料公司(AMAT)、美國(guó)亞舍立科技(Axcelis)、美國(guó)AIBT、日本住友(Sumitomo)等供應(yīng)商包攬了國(guó)內(nèi)大多數(shù)晶圓廠的市場(chǎng)份額,部分代表性晶圓廠國(guó)產(chǎn)化率僅1%~3%。[50]

CMP設(shè)備

隨著制程不斷縮小,衡量晶體管的尺度越來(lái)越小,所以晶圓對(duì)平坦度要求也變得越來(lái)越高,這種情況下,就需要化學(xué)機(jī)械平坦(Chemical Mechanical Polishing,CMP)工藝,實(shí)現(xiàn)晶圓表面平坦化。

顧名思義,CMP就是協(xié)同化學(xué)腐蝕和機(jī)械研磨的一種工藝,與傳統(tǒng)純機(jī)械與純化學(xué)不同,CMP能夠有效避免純機(jī)械的表面損傷和純化學(xué)的拋光速度慢、表面平整度和拋光一致性差等缺點(diǎn)[51],這項(xiàng)工藝會(huì)在芯片制造中多次重復(fù)使用,包括光刻前、薄膜沉積后或先進(jìn)封裝中。

可以說(shuō),制程節(jié)點(diǎn)越小,需求CMP次數(shù)越多。如從180nm到14nm,CMP工藝步驟數(shù)將從10次增加至20次以上,而7nm工藝中CMP步驟數(shù)甚至超過(guò)30次。[52]

CMP設(shè)備分為金屬和非金屬兩種機(jī)臺(tái),非金屬機(jī)臺(tái)主要包括金屬間介平坦化 (IMD CMP)、層間介質(zhì)平坦化 (ILD CMP)和淺溝槽隔離平坦化 (STI CMP)等,金屬機(jī)臺(tái)主要包括銅、鎢、鋁等。

CMP設(shè)備由拋光、清洗、傳送三大模塊構(gòu)成,并其作業(yè)過(guò)程中,拋光頭將晶圓待拋光面壓抵在粗糙拋光墊上,借助拋光液腐蝕、微粒摩擦、拋光墊摩擦等實(shí)現(xiàn)全局平坦化,因此拋光液和拋光墊是工藝過(guò)程中兩大耗材。[53]

CMP技術(shù)是從0.35μm制程節(jié)點(diǎn)才開始大規(guī)模應(yīng)用的新工藝,曾經(jīng),它也只是一個(gè)不被看好的丑小鴨。

20世紀(jì)90年代初期,由于光刻對(duì)于平坦度的追求愈發(fā)強(qiáng)烈,CMP應(yīng)運(yùn)而生并被用于后端(BEOL)金屬連線層間介質(zhì)的平整,此時(shí)這項(xiàng)技術(shù)并沒有引起行業(yè)的關(guān)注。20世紀(jì)90年代中期,0.35μm制程工藝時(shí)期,淺槽隔離拋光(STI CMP)取代了LOCOS,鎢拋光(W CMP)則取代了反刻蝕(etch back)。21世紀(jì)初,銅拋光(Cu CMP)的出現(xiàn),使得0.13μm后端銅制程變?yōu)楝F(xiàn)實(shí),而后Cu CMP一直被延續(xù)使用到90/65/45/32/28/22nm。近年,隨著制程節(jié)點(diǎn)不斷縮小,對(duì)于CMP要求更高,新的CMP應(yīng)用層出不窮。[54]

1970aa66-154c-11ee-9c1d-dac502259ad0.png

CMP技術(shù)發(fā)展歷史,參考資料丨《納米級(jí)稱電路制造工藝》[54],果殼硬科技重置

目前,最先進(jìn)的5/3nm制程也仍然在采用CMP技術(shù),同時(shí)12英寸晶圓是仍是最先進(jìn)制程所采取的尺寸標(biāo)準(zhǔn),因此CMP設(shè)備未來(lái)較長(zhǎng)時(shí)間內(nèi)不存在技術(shù)迭代周期,但設(shè)備中核心模塊技術(shù)和控制系統(tǒng)會(huì)不斷升級(jí)。[55]

CMP設(shè)備在半導(dǎo)體設(shè)備價(jià)值鏈中占比約為3%,而美國(guó)應(yīng)用材料和日本荏原合計(jì)包攬全球CMP設(shè)備超90%市場(chǎng)份額,兩家公司CMP設(shè)備均已達(dá)到5nm制程工藝水平,我國(guó)絕大部分的高端CMP設(shè)備也由美國(guó)應(yīng)用材料和日本荏原提供。[55]

19870108-154c-11ee-9c1d-dac502259ad0.png

CMP設(shè)備全球市場(chǎng)情況,制表丨果殼硬科技

參考資料丨國(guó)金證券[56]

國(guó)內(nèi)方面,目前主要為中低端產(chǎn)品,12英寸高端CMP設(shè)備處在產(chǎn)品驗(yàn)證階段,其中,華海清科CMP設(shè)備已正式進(jìn)入集成電路生產(chǎn)線,盛美半導(dǎo)體CMP設(shè)備主要用于后段封裝的65~45nm銅互聯(lián)工藝,由中電科45所CMP技術(shù)專家創(chuàng)業(yè)建立的杭州眾德公司也正逐漸邁向新一步。[51]

清洗設(shè)備

半導(dǎo)體中的清洗技術(shù)是指在氧化、光刻、外延、擴(kuò)散和引線蒸發(fā)等半導(dǎo)體制造工序前,采用物理或化學(xué)方法,清除污染物和自身氧化物的過(guò)程。

芯片有著嚴(yán)重的潔癖,這是因?yàn)檎次蹘?lái)的缺陷引起的芯片電學(xué)失效,比例高達(dá)80%[57]。假若在晶圓制造環(huán)節(jié)中有污染物未能完全清除,輕則影響晶圓良率,重則導(dǎo)致一整片乃至成批晶圓報(bào)廢。

清洗能夠貫穿了芯片制造的全產(chǎn)業(yè)鏈,占據(jù)30%以上的半導(dǎo)體制造工序步驟。SEMI數(shù)據(jù)顯示,在80nm~60nm制程中清洗工藝共有約100個(gè)步驟,而到了20nm~10nm 制程中清洗工藝增加到200個(gè)步驟以上[58]。也是重復(fù)次數(shù)最多的工序,包括三類工序:

在硅片制造過(guò)程:清洗拋光后的硅片,保證表面平整度和性能,提高后續(xù)工藝的良品率;

在晶圓制造過(guò)程:在光刻、刻蝕、沉積、離子注入、去膠等關(guān)鍵工序前后清洗,減小缺陷率;

芯片封裝過(guò)程:根據(jù)封裝工藝進(jìn)行TSV清洗、UBM/RDL清洗、鍵合清洗等。

根據(jù)清洗介質(zhì)的不同,半導(dǎo)體清洗分為濕法清洗和干法清洗,前者采用去離子水和化學(xué)溶劑,輔以超聲波、加熱、真空等物理方法,對(duì)晶圓表面進(jìn)行清洗,后者不使用化學(xué)溶劑的清洗技術(shù)。其中,90%的清洗步驟使用的都是濕法清洗技術(shù),不過(guò)二者缺一不可,各自發(fā)揮不同作用。

清洗設(shè)備在半導(dǎo)體設(shè)備價(jià)值鏈中占比約為6%,從國(guó)際和國(guó)內(nèi)清洗設(shè)備現(xiàn)狀來(lái)看,馬太效應(yīng)顯著。全球半導(dǎo)體清洗設(shè)備市場(chǎng)呈現(xiàn)高度集中的態(tài)勢(shì)。Gartner數(shù)據(jù)顯示,DNS(迪恩士)、TEL(東京電子)、SEMES與LAM(泛林半導(dǎo)體)分別占據(jù)2020年全球半導(dǎo)體清洗設(shè)備市場(chǎng)份額的45.1%、25.3%、14.8%和12.5%。[59]

國(guó)內(nèi)能提供半導(dǎo)體清洗設(shè)備的企業(yè)非常少,主要包括盛美半導(dǎo)體、北方華創(chuàng)、芯源微及至純科技四家公司,目前四家國(guó)產(chǎn)企業(yè)均已具備130nm~28nm主流制程清洗設(shè)備技術(shù),其中盛美半導(dǎo)體已在研7/5nm清洗設(shè)備技術(shù)。

相比其它種類半導(dǎo)體設(shè)備,清洗設(shè)備國(guó)產(chǎn)增速明顯,國(guó)產(chǎn)化率從2015年的15%提升到了2020年的20%[60]。國(guó)內(nèi)短板主要在于先進(jìn)濕法清洗設(shè)備,DNS(迪恩士)、TEL(東京電子)、LAM(泛林半導(dǎo)體)與SEMES四家公司就包攬了單片清洗設(shè)備市占率的90%。

檢測(cè)和量測(cè)設(shè)備

集成電路生產(chǎn)工藝復(fù)雜,僅前道制程就存在數(shù)百道工序,量變引發(fā)質(zhì)變,每道工序的缺陷都會(huì)隨時(shí)間推移而被放大到數(shù)倍甚至數(shù)十倍,所以只有保證每道工序都不存在缺陷,才能保證最終成品的性能。

換句話說(shuō),生產(chǎn)每走一步,就要用查看一次生產(chǎn)情況。就像醫(yī)療領(lǐng)域的CT、彩超、生化分析儀等輔助檢測(cè)身體狀況的設(shè)備一樣,這些給芯片前道工藝“體檢”的工具就是檢測(cè)和量測(cè)設(shè)備。

幾納米的誤差、尺寸變化、顆?;驁D像錯(cuò)誤,都會(huì)導(dǎo)致芯片無(wú)法正常工作,假若前道工藝每道工藝良率損失0.1%,最終良率就會(huì)降低到36.8%[61]。檢測(cè)和量測(cè)設(shè)備作為前道檢測(cè)兩大設(shè)備,能夠有效控制制造過(guò)程,提高產(chǎn)量。

檢測(cè)設(shè)備:用于檢測(cè)晶圓表面缺陷(包括異物缺陷、氣泡缺陷、顆粒缺陷等),分為明/暗場(chǎng)光學(xué)圖形圖片缺陷檢測(cè)設(shè)備、無(wú)圖形表面檢測(cè)設(shè)備、宏觀缺陷檢測(cè)設(shè)備等;

量測(cè)設(shè)備:用于測(cè)量透明/不透明薄膜厚度、膜應(yīng)力、摻雜濃度、關(guān)鍵尺寸、光刻套準(zhǔn)精度等指標(biāo),對(duì)應(yīng)設(shè)備分為橢偏儀、四探針、原子力顯微鏡、CD-SEM、OCD-SEM、薄膜量測(cè)等。[62]

半導(dǎo)體檢測(cè)和量測(cè)設(shè)備研發(fā)難度高,投入大,但市場(chǎng)空間不如中下游集成電路或芯片那般大,且增速較為平穩(wěn)。不過(guò),它在制造設(shè)備中價(jià)值比重約為12%,相對(duì)占比較大。

數(shù)據(jù)顯示,全球半導(dǎo)體量測(cè)設(shè)備將從2021年的73億美元提升至2031年的133億美元,年復(fù)合增長(zhǎng)率6.2%,同時(shí)這一領(lǐng)域全球集中度極高,科磊半導(dǎo)體(KLA)、應(yīng)用材料(Applied Materials)、日立(Hitachi)三家全球市場(chǎng)占比分別為50.8%、11.5%、8.9%。[63]

我國(guó)半導(dǎo)體檢測(cè)與量測(cè)設(shè)備國(guó)產(chǎn)化率極低,2020年我國(guó)半導(dǎo)體檢測(cè)和量測(cè)設(shè)備國(guó)產(chǎn)化率約為2%,科磊半導(dǎo)體、應(yīng)用材料、日立三家公司分別占據(jù)我國(guó)檢測(cè)和量測(cè)設(shè)備市場(chǎng)的54.8%、9.0%、7.1%。而我國(guó)整體市場(chǎng)占全球市場(chǎng)約27.4%,根據(jù)推算,2023年我國(guó)檢測(cè)和量測(cè)設(shè)備市場(chǎng)規(guī)模能夠達(dá)到326億元。[64][65]

19953340-154c-11ee-9c1d-dac502259ad0.png

2020年全球半導(dǎo)體檢測(cè)和量測(cè)設(shè)備市場(chǎng)格局[62]

19a3452a-154c-11ee-9c1d-dac502259ad0.png

前道工藝:材料消耗大戶

材料是生產(chǎn)芯片的基石,從古至今,歷史的發(fā)展與材料密切相關(guān),各個(gè)時(shí)代都以相應(yīng)的材料名稱作為其標(biāo)志,如石器時(shí)代、陶器時(shí)代、青銅器時(shí)代、鐵器時(shí)代、瓷器時(shí)代[66],而放眼當(dāng)下,卡脖子的35項(xiàng)技術(shù)幾乎都與材料有關(guān)。[67]

半導(dǎo)體行業(yè)無(wú)疑是把材料玩出花的行業(yè),涉及的材料品類和需求量都非常大,而這些材料也會(huì)是前期加工至關(guān)重要的一環(huán)。

半導(dǎo)體材料分為晶圓制造材料和封裝材料兩種,前期加工消耗的材料主要包括硅材料、靶材、CMP拋光材料、光刻膠、濕電子化學(xué)品、電子特種氣體、光掩膜等。[9]

SEMI數(shù)據(jù)顯示,全球半導(dǎo)體材料占整體行業(yè)市場(chǎng)規(guī)模從2015至2021年呈先降后升的趨勢(shì),2021年全球半導(dǎo)體材料市場(chǎng)約占全球半導(dǎo)體產(chǎn)業(yè)總規(guī)模的11.56%[9]。2022年全球半導(dǎo)體材料市場(chǎng)銷售額達(dá)727億美元,相比2021年的668億美元增長(zhǎng)8.9%,其中晶圓制造材料和封裝材料銷售額分別為447億美元和280億美元,分別占全球材料市場(chǎng)銷售額的61.5%和38.5%。此外,2022年中國(guó)大陸材料銷售額為129.7億美元,占全球市場(chǎng)約17.8%。[68]

19af6d64-154c-11ee-9c1d-dac502259ad0.png

2021年全球半導(dǎo)體材料分類規(guī)模占比[9]

硅材料

半導(dǎo)體行業(yè)的硅料消耗量極大。統(tǒng)計(jì)顯示,2015年~2021年國(guó)內(nèi)半導(dǎo)體硅料市場(chǎng)規(guī)模從101.6億元升至250.5億元,復(fù)合增長(zhǎng)率達(dá)16.2%,目前,國(guó)內(nèi)半導(dǎo)體硅料生產(chǎn)企業(yè)技術(shù)水平進(jìn)步明顯,且能夠在國(guó)內(nèi)市場(chǎng)維持較高占比。[9]

19c8ac66-154c-11ee-9c1d-dac502259ad0.png

2015年~2021年中國(guó)硅材料市場(chǎng)規(guī)模柱狀圖[9]

半導(dǎo)體硅料價(jià)格與半導(dǎo)體硅片行業(yè)景氣度掛鉤,SEMI數(shù)據(jù)顯示,硅料價(jià)格從2016年0.67美元/平方英寸增長(zhǎng)至2021年價(jià)格0.98美元/平方英寸。[9]

19de4080-154c-11ee-9c1d-dac502259ad0.png

全球半導(dǎo)體硅片平均售價(jià)情況[9]

掩模版

光掩模是光刻工藝中重要材料,用于選擇性阻擋曝光、輻照或物質(zhì)穿透的掩蔽模版。簡(jiǎn)單解釋來(lái)說(shuō),掩模版是光刻過(guò)程中的底片,能將上面的圖案復(fù)制到晶圓上。按用途,掩模版分為主掩模、中間掩模、工作掩模、移相掩模等。

如今媒體甚至正式刊物中,經(jīng)常出現(xiàn)錯(cuò)誤的寫法,如“掩膜版”或者“掩模板”,實(shí)際上,它的規(guī)范寫法是“掩蔽模具”的“?!保粦?yīng)該寫成“薄膜”的“膜”,同時(shí)掩模版的“版”是“出版的版”,而非“板材”的“板”。[26]

掩模版自身,也是由光刻工藝而來(lái),但與芯片不同,掩模版本身要比芯片大得多,所以通常不會(huì)使用DUV、EUV光刻那樣又難又貴的光刻技術(shù),而是采用光學(xué)圖形發(fā)生器、激光圖形發(fā)生器和電子束圖形發(fā)生器進(jìn)行掩膜圖形曝光。[26]

掩模版約占12%全球半導(dǎo)體材料市場(chǎng),據(jù)推算,2021年全球掩模版市場(chǎng)規(guī)模為77.16億美元,其中24%為顯示面板用掩模版,65%為集成電路用掩模版。[69]

平板顯示方面,Photronics(福尼克斯)、SKE、HOYA(豪雅)、LG-IT、清溢光電、路維光電分別占據(jù)2020年全球市場(chǎng)份額的24%、22%、21%、21%、7%、5%;集成電路方面,全球65%的市場(chǎng)是由半導(dǎo)體廠商自行生產(chǎn)(如英特爾、三星等),第三方公司Photronics(福尼克斯)、Toppan(凸版印刷)、DNP(大日本印刷)分別占據(jù)2020年全球市場(chǎng)份額的11%、10%、8%。[70]

19ee2b44-154c-11ee-9c1d-dac502259ad0.png

2020年全球掩模板市場(chǎng),制表丨果殼硬科技

參考資料丨安信證券[70]

光刻膠

光刻膠,又稱“光致抗蝕劑”,是光刻成像的承載介質(zhì),可利用光化學(xué)反應(yīng)將光刻系統(tǒng)中經(jīng)過(guò)衍射、濾波后的光信息轉(zhuǎn)化為化學(xué)能量,從而把微細(xì)圖形從掩模版轉(zhuǎn)移到待加工基片上。其被廣泛應(yīng)用于光電信息產(chǎn)業(yè)的微細(xì)圖形線路的加工制作,是微細(xì)加工技術(shù)的關(guān)鍵性材料。

一言以蔽之,光刻膠是光刻工藝最重要的耗材,其性能決定了加工成品的精密程度和良品率。

從用量上來(lái)說(shuō),溶劑(主要為丙二醇甲醚醋酸酯,簡(jiǎn)稱PMA)是用量最大的材料,含量最高可達(dá)90%,但在成本上并不突出,且不起關(guān)鍵作用;作為光化學(xué)反應(yīng)的核心部分,光引發(fā)劑的用量?jī)H有約1%~6%;樹脂則在不同光刻膠產(chǎn)品中的用量區(qū)別很大。[71]

從成本看,在半導(dǎo)體光刻膠領(lǐng)域,越先進(jìn)的工藝,樹脂成本占比越高:以 KrF(氟化氪)光刻膠為例,樹脂成本占比高達(dá)約75%,感光劑約為23%,溶劑約為2%。[72]

根據(jù)曝光波長(zhǎng)不同,半導(dǎo)體光刻膠可進(jìn)一步分為普通寬普光刻膠、g線(436nm)、i線(365nm)、KrF(248nm)、ArF(193nm)、以及最先進(jìn)的 EUV(<13.5nm)光刻膠。

其中,ArF***涉及干法和浸沒式兩種工藝(區(qū)別在于鏡頭和光刻膠之間的介質(zhì)是空氣還是液體),ArF光刻膠也對(duì)應(yīng)分為干法和浸沒式兩類。EUV光刻膠則是制造難度最高的產(chǎn)品,也是7nm及以下制程芯片加工過(guò)程中的核心原材料。

2019年全球光刻膠市場(chǎng)規(guī)模預(yù)計(jì)約91億美元,自2010年至2019年年復(fù)合增長(zhǎng)率約為5.4%,推算2021年數(shù)據(jù)為百億左右;同期中國(guó)本土光刻膠市場(chǎng)規(guī)模約在88億人民幣左右[73]。而其中,全球的半導(dǎo)體光刻膠市場(chǎng)規(guī)模約為17.5億美元。[74]

細(xì)分市場(chǎng)方面,ArFi光刻膠(即浸沒式ArF光刻膠)和KrF光刻膠市場(chǎng)份額最大,均在30%以上,其次是g/i光刻膠,市場(chǎng)份額約為17%,EUV及其它類型半導(dǎo)體光刻膠合計(jì)僅有1%左右。但從未來(lái)發(fā)展看,作為代表著先進(jìn)集成電路發(fā)展趨勢(shì)的EUV光刻的關(guān)鍵耗材,EUV光刻膠對(duì)將芯片制程推進(jìn)至5nm以下起關(guān)鍵作用,成長(zhǎng)性無(wú)疑是最好的。[75]

整體業(yè)態(tài)方面,全球光刻膠市場(chǎng)高度集中,日美把控著絕大部分市場(chǎng)份額。日本的JSR、東京應(yīng)化、信越化學(xué)及富士膠片四家企業(yè)占據(jù)了全球70%以上的市場(chǎng)份額,整體壟斷地位穩(wěn)固。[75]

19fa2f8e-154c-11ee-9c1d-dac502259ad0.png

全球光刻膠市場(chǎng)份額[75]

全球半導(dǎo)體光刻膠市場(chǎng)中,日本企業(yè)穩(wěn)居壟斷地位。2020年,日本企業(yè)在全球半導(dǎo)體光刻膠市場(chǎng)中占據(jù)的份額至少在60%以上,其中東京應(yīng)化以25.6%的市場(chǎng)份額占據(jù)龍頭地位;美國(guó)杜邦位列第二,市場(chǎng)份額為17.6%。細(xì)分市場(chǎng)中,2020年,日本東京應(yīng)化在g/i線、KrF和EUV光刻膠市場(chǎng)的份額位列全球第一;JSR則以24.9%的市場(chǎng)份額把持著ArF光刻膠市場(chǎng)。[74]

1a09485c-154c-11ee-9c1d-dac502259ad0.png

2020年全球半導(dǎo)體光刻膠行業(yè)份額[74]

國(guó)內(nèi)方面,相對(duì)低端的PCB光刻膠仍然占國(guó)內(nèi)94%左右供應(yīng),而高端面板光刻膠與半導(dǎo)體光刻膠則非常之少[76]。具體到半導(dǎo)體,目前適用于6英寸硅片的g線、i線光刻膠的自給率約為10%,適用于8英寸硅片的KrF光刻膠自給率不足5%,而適用于12寸硅片的ArF光刻膠基本依賴進(jìn)口,更先進(jìn)的EUV則連研發(fā)都處于相當(dāng)早期的階段[77]。產(chǎn)能上,國(guó)內(nèi)企業(yè)的產(chǎn)品,僅g/i線光刻膠實(shí)現(xiàn)批量應(yīng)用,KrF僅少數(shù)研發(fā)進(jìn)度領(lǐng)先企業(yè)實(shí)現(xiàn)小批量應(yīng)用。

電子氣體

任何電子產(chǎn)品都逃不開電子氣體,它是重要的基礎(chǔ)性原材料,被喻為工業(yè)制造的“血液“或”糧食”。電子氣體僅占芯片總成本的5%~6%[78],但下游廠商對(duì)電子氣體的需求具有剛性和穩(wěn)定性,導(dǎo)致對(duì)價(jià)格的敏感度較低,從而使電子氣體擁有較強(qiáng)的成本轉(zhuǎn)嫁能力。

電子氣體分為電子特種氣體和電子大宗氣體兩大類[79],二者對(duì)制造都極為重要。電子大宗氣體與特種氣體應(yīng)用廣泛,涉及集成電路制造、光伏制造、顯示面板制造等領(lǐng)域,不同領(lǐng)域間所用氣體存在一定交集。其中,三氟化氮(NF3)、硅烷(SiH4)和氨氣(NH3)是集成電路制造、光伏制造、顯示面板制造領(lǐng)域的三大主要?dú)怏w。

電子產(chǎn)品都是大胃王,一款產(chǎn)品需要幾十甚至上百種電子氣體。以集成電路為例,涉及電子氣體約100多種,核心工段約40~50種[80]。雖然每種氣體用量并沒有很大,但種類繁多,整體用量就變大了。從全球市場(chǎng)占比來(lái)看,硅烷約為22%,三氟化氮為13%,離子注入氣為10%,氟碳類為6%,六氟化鎢為4%,笑氣為4%,鍺烷為3%,高純氨為3%以及大量其它氣體。[81]

1a13816e-154c-11ee-9c1d-dac502259ad0.png

各制造領(lǐng)域所需氣體情況,制表丨果殼硬科技

目前,美國(guó)空氣化工、德國(guó)林德集團(tuán)、法國(guó)液化空氣、日本大陽(yáng)日酸四家海外巨頭占據(jù)了全球約九成市場(chǎng)[82]。我國(guó)所用電子氣體以外資為主,雖然國(guó)內(nèi)部分企業(yè)已具備生產(chǎn)高純電子氣體的能力,卻很難進(jìn)入集成電路領(lǐng)域[83],集成電路所用的電子特氣我國(guó)僅能生產(chǎn)約20%品種[84],國(guó)產(chǎn)化率不足15%[85]。與此同時(shí),國(guó)內(nèi)高端電子特氣幾乎全部依賴進(jìn)口。[86]

迄今為止,國(guó)內(nèi)電子氣體玩家分為三類:

第一類是以工業(yè)氣體為主營(yíng)業(yè)務(wù),產(chǎn)品覆蓋到部分品種電子氣體,代表公司有華特氣體、金宏氣體;

第二類是專注深耕電子特氣的公司,代表公司有派瑞特氣、昊華科技、華宇同方;

第三類是電子材料平臺(tái)型公司,除電子氣體外,業(yè)務(wù)還涉及其它電子材料,代表公司有雅克科技、南大光電。[87]

我國(guó)電子大宗氣體2020年市場(chǎng)規(guī)模為1632億元,同比增長(zhǎng)10.49%,預(yù)計(jì)2023年有望突破2000億元,達(dá)到2172.2億元,由于細(xì)分行業(yè)壁壘高,目前國(guó)產(chǎn)化率較低[88];2021年中國(guó)特種氣體市場(chǎng)規(guī)模預(yù)計(jì)達(dá)342億元,其中電子特氣預(yù)計(jì)達(dá)216億元,電子特氣占特種氣體接近6成。[82]

對(duì)比國(guó)內(nèi)外發(fā)展情況,國(guó)際廠商供應(yīng)客戶均為尖端制造廠商,產(chǎn)品品種覆蓋面更廣,供氣模式也更豐富,大部分可提供TGM供氣模式。國(guó)內(nèi)則缺乏高端氣體技術(shù),同時(shí)僅少部分公司擁有TGM模式。從技術(shù)角度來(lái)看,雖然我國(guó)已在氣體提純技術(shù)、容器處理技術(shù)、氣體充裝技術(shù)和檢測(cè)技術(shù)上達(dá)到國(guó)際通行標(biāo)準(zhǔn)[89],但整體水平仍與國(guó)際存在差距。

工藝化學(xué)品

工藝化學(xué)品(Process Chemicals)是國(guó)際的一種統(tǒng)稱,在美國(guó)、歐洲和中國(guó)臺(tái)灣地區(qū)又被稱為濕化學(xué)品,而在國(guó)內(nèi)則也“電子級(jí)試劑”“超凈高純化學(xué)試劑”等更精準(zhǔn)的表達(dá)方式。本文主要使用濕電子化學(xué)品這種行業(yè)通用的稱呼。

濕電子化學(xué)品極為重要,SEMI(國(guó)際半導(dǎo)體設(shè)備與材料協(xié)會(huì))也將其單門劃分為一類進(jìn)行統(tǒng)計(jì),它影響著集成電路的成品率、電性能及可靠性[90]。此外,從28nm到5nm總工藝步驟將由400次增加至1200以上,其中清洗工藝占總工藝25~30%,進(jìn)一步帶動(dòng)高端濕電子化學(xué)品需求量。[91]

顧名思義,濕電子化學(xué)品是用于光刻、刻蝕、離子注入、CMP、清洗等制造工藝中的各種液體,可劃分為通用濕電子化學(xué)品和功能濕電子化學(xué)品兩類。

通用濕電子化學(xué)品是制造工藝中被大量使用的液體化學(xué)品,一般為單成份、單功能化學(xué)品,如氫氟酸、硫酸、氫氧化鈉、氫氧化鉀等;

功能濕電子化學(xué)品是滿足制造特殊工藝需求的復(fù)配類化學(xué)品,如顯影液、剝離液、蝕刻液、稀釋液、清洗液等。[92]

1a212f62-154c-11ee-9c1d-dac502259ad0.png

濕電子化學(xué)品的分類與具體產(chǎn)品[92]

與電子氣體類似,濕電子化學(xué)品也追求高純度。目前,全球均執(zhí)行SEMI國(guó)際標(biāo)準(zhǔn),根據(jù)金屬雜質(zhì)、控制粒徑、顆粒數(shù)、IC線寬分級(jí),不同分級(jí)適用應(yīng)用不同。

1a36435c-154c-11ee-9c1d-dac502259ad0.png

濕電子化學(xué)品SEMI國(guó)際標(biāo)準(zhǔn)等級(jí)[92]

目前,國(guó)際大規(guī)模濕電子化學(xué)品生產(chǎn)企業(yè)包括德國(guó)巴斯夫(Basf)、美國(guó)亞什蘭(Ashland)、美國(guó)Arch化學(xué)、美國(guó)霍尼韋爾(Honeywell)、美國(guó)Mallinckradt Baker、日本關(guān)東化學(xué)、日本三菱化學(xué)、日本京都化工、日本住友化學(xué)、日本和光純藥工業(yè) (Wako)、日本stella-chemifa、韓國(guó)東進(jìn)等。我國(guó)主要企業(yè)則包括多氟多材料、江陰江化微、江陰潤(rùn)瑪、蘇州晶瑞、浙江凱盛氟、滄州信聯(lián)、無(wú)錫三開、鎮(zhèn)江潤(rùn)晶等。[93]

1a430a92-154c-11ee-9c1d-dac502259ad0.png

全球濕電子化學(xué)品主要企業(yè)及情況,制表丨果殼硬科技

參考資料丨格林達(dá)招股書[92]

數(shù)據(jù)顯示,2022年全球集成電路用濕化學(xué)品市場(chǎng)規(guī)模為56.90億美元,2025年則可增長(zhǎng)至63.81億美元,其中中國(guó)總體市場(chǎng)規(guī)模將在2025年增長(zhǎng)至10.27億美元。[91]

雖然我國(guó)濕電子化學(xué)品已在太陽(yáng)能電池領(lǐng)域已實(shí)現(xiàn)國(guó)產(chǎn)替代,但在半導(dǎo)體和平板顯示領(lǐng)域市占率僅有23%和35%,此外,2019年中國(guó)大陸企業(yè)在超凈高純化學(xué)品市場(chǎng)供應(yīng)上僅占中國(guó)市場(chǎng)的9%,超凈高純?cè)噭o(wú)論是在質(zhì)量上,還是數(shù)量上都難以滿足電子工業(yè)需求。[94]

1a617eb4-154c-11ee-9c1d-dac502259ad0.png

2019年中國(guó)超凈高純化學(xué)品市場(chǎng)供應(yīng)格局[94]

濺射靶材

濺射靶材是沉積薄膜的原材料,而濺射(Sputtering)工藝則屬于物理氣相沉積(PVD)技術(shù)的一種。

濺射靶材由靶坯、背板等部分構(gòu)成,其中靶坯屬核心部分,是高速離子束流轟擊的目標(biāo)材料。

1a68485c-154c-11ee-9c1d-dac502259ad0.png

濺射靶材的分類[95]

濺射靶材應(yīng)用廣泛,包括半導(dǎo)體芯片、平板顯示、太陽(yáng)能電池等。針對(duì)不同領(lǐng)域,也對(duì)金屬材料提出了不同性能要求。

一般來(lái)說(shuō),半導(dǎo)體芯片對(duì)濺射靶材要求最高,其對(duì)金屬材料純度、內(nèi)部微觀結(jié)構(gòu)等方面設(shè)定了嚴(yán)苛標(biāo)準(zhǔn),包括鋁靶、鈦靶、銅靶、鉭靶、鎢鈦靶等,純度要求一般在5N(99.999%)以上。

超高純鋁及其合金是目前使用最廣泛的半導(dǎo)體芯片配線薄膜材料之一;

超高純鈦主要作為阻擋層薄膜材料之一,鈦靶材及環(huán)件與超高純鈦靶材配套應(yīng)用于130nm~5nm工藝;

超高純鉭是阻擋層薄膜材料,鉭靶材及環(huán)件應(yīng)用于90nm~3nm等最尖端工藝中;

超高純銅及銅錳、銅鋁合金靶材是目前使用最廣泛的先端半導(dǎo)體導(dǎo)電層薄膜材料之一,銅及銅合金作為導(dǎo)電層通常用于90nm~3nm技術(shù)節(jié)點(diǎn)的先端芯片中。[96]

1a7fd22e-154c-11ee-9c1d-dac502259ad0.png

濺射靶材對(duì)金屬材料選擇和性能要求[95]

濺射靶材產(chǎn)業(yè)各環(huán)節(jié)參與企業(yè)數(shù)量基本呈金字塔型分布,最高端應(yīng)用的高純?yōu)R射靶材難度極高,僅日礦金屬、霍尼韋爾、東曹、普萊克斯等少數(shù)幾個(gè)美日企業(yè)能夠生產(chǎn)[95],四家公司合計(jì)占據(jù)80%以上全球市場(chǎng)。[97]

此外,濺射靶材各國(guó)發(fā)展也不同。美日企業(yè)擁有最完整的濺射靶材產(chǎn)業(yè)鏈,韓國(guó)、新加坡則在磁記錄及光學(xué)薄膜領(lǐng)域有所特長(zhǎng),我國(guó)則起步較晚,主要擁有江豐電子、有研新材、阿石創(chuàng)、隆華科技四家企業(yè),目前已有部分企業(yè)初步實(shí)現(xiàn)高端應(yīng)用濺射靶材。

1a91cca4-154c-11ee-9c1d-dac502259ad0.png

全球半導(dǎo)體靶材和超高純?yōu)R射靶材市場(chǎng)情況[97]

CMP拋光材料

CMP拋光液是CMP過(guò)程重要耗材,約占CMP成本的50%,主要由磨料、去離子水、PH值調(diào)節(jié)劑、氧化劑及分散劑等添加劑組成,其中納米磨料是決定性原料,多為硅溶膠、SiO2、CeO2、納米級(jí)Al2O3顆粒等。[90]

2022年全球晶圓制造用拋光液市場(chǎng)預(yù)計(jì)超20億美元,2026年可達(dá)到26億美元。國(guó)內(nèi)方面,增速有望高于全球市場(chǎng),2025年國(guó)內(nèi)拋光液市場(chǎng)有望占全球市場(chǎng)的25%,達(dá)40億元人民幣,2021年~2025年復(fù)合增長(zhǎng)率達(dá)15%。[91]

目前,全球僅有少數(shù)幾家化學(xué)機(jī)械拋光漿料供應(yīng)商,包括Cabot、Versum、Hitachi、Fujimi和Dow五家美日廠商,五家廠商合計(jì)占據(jù)2018年全球CMP拋光液近八成市場(chǎng)份額,而國(guó)內(nèi)僅安集科技僅占全球2.44%市場(chǎng)份額[98]。國(guó)內(nèi)市場(chǎng)Cabot也占據(jù)了大部分市場(chǎng),而其磨料直徑可達(dá)15~20nm。

1aa0856e-154c-11ee-9c1d-dac502259ad0.png

2018年CMP拋光液全球及中國(guó)市場(chǎng)競(jìng)爭(zhēng)格局[98]

反觀國(guó)內(nèi),CMP拋光液國(guó)產(chǎn)化率約5%,主要企業(yè)包括安集微電子、上海新安納電子、北京國(guó)瑞升科技。其中,安集微電子部分產(chǎn)品成功進(jìn)入國(guó)內(nèi)外8英寸和12英寸芯片生產(chǎn)線,上海新安納電子作為二氧化硅納米磨料供應(yīng)商,產(chǎn)品已成功應(yīng)用于8英寸和12英寸晶圓拋光,海新安納則在存儲(chǔ)拋光液上取得進(jìn)展。[13]

1aaca240-154c-11ee-9c1d-dac502259ad0.png

后道工藝:讓加工品成為芯片

當(dāng)前期加工完畢,離芯片就只差一步,此時(shí)剛剛從晶圓上切下來(lái)的芯片只是裸芯片(die),需要進(jìn)一步封裝,才能稱之為芯片,最后經(jīng)過(guò)測(cè)試的芯片才能出廠到我們手里。

在行業(yè)中,封裝和測(cè)試多被劃入一個(gè)領(lǐng)域,即封測(cè) (Semiconductor assembly and test manufacturing,ATM) ,工藝流程包括劃片、裝片、 鍵合、塑封、去飛邊、電鍍、打印、切筋和成型、外觀檢查、成品測(cè)試、包裝出貨等。[99]

簡(jiǎn)單來(lái)說(shuō),就是在一顆顆芯片從刻好電路的晶圓上切割下來(lái)前,測(cè)試一遍各種參數(shù),通過(guò)測(cè)試后,再像裝香腸一樣,封裝成芯片,之后再測(cè)試一遍芯片的性能。

按國(guó)際主流思想,芯片制造成本中,設(shè)計(jì)約占三分之一,芯片制造約占三分之一,而封裝和測(cè)試也占約三分之一,是芯片制造中重要一環(huán)[100]。而其中,封裝環(huán)節(jié)的價(jià)值占半導(dǎo)體封測(cè)比例約80%~85%,測(cè)試環(huán)節(jié)占15%~20%。[101]

半導(dǎo)體封測(cè)是我國(guó)最早轉(zhuǎn)型的制造環(huán)節(jié),迄今為止,它已成為我國(guó)集成電路產(chǎn)業(yè)鏈中相對(duì)成熟的環(huán)節(jié)。早在2010年,我國(guó)就已在封裝測(cè)試環(huán)節(jié)實(shí)現(xiàn)632億元的銷售額,其產(chǎn)值一度占據(jù)我國(guó)集成電路產(chǎn)業(yè)總產(chǎn)值的70%以上。[99]

與前道工藝相同,封裝和測(cè)試也需要設(shè)備和材料。根據(jù)SIA分類,后道工藝主要包括封裝設(shè)備和測(cè)試設(shè)備兩類,耗材則主要是封裝材料。[60]

封裝設(shè)備

芯片又小又薄,如若不施加保護(hù),很容易便會(huì)刮傷損壞。封裝就相當(dāng)于是給芯片做了一層保護(hù)殼,并預(yù)留好各種接線引腳,方便后期連接使用。[102]

封裝的方式多種多樣,傳統(tǒng)封裝會(huì)耗費(fèi)相當(dāng)大體積,此外,當(dāng)不同功能集成電路都整合在一起時(shí),電路的間距越小,性能才會(huì)越好,所以行業(yè)不斷探索更為先進(jìn)的封裝方式。通俗來(lái)講,就是把這層殼做得越來(lái)越輕薄,越來(lái)越緊湊。

從上世紀(jì)70年代起到現(xiàn)在,誕生了大量封裝方式,呈現(xiàn)出百花齊放的態(tài)勢(shì)。

從創(chuàng)新角度看,封裝分為傳統(tǒng)封裝和先進(jìn)封裝。傳統(tǒng)封裝包括最初的直插型封裝DIP、小外形封裝SOP、方型扁平式封裝QFP、球柵陣列封裝WB BGA等;先進(jìn)封裝相比傳統(tǒng)封裝,效率更高、性能更好、成本更低,同時(shí)以小型化、輕薄化、窄間距、高集成為特點(diǎn),包括倒裝FLIP-CHIP、晶圓級(jí)封裝WLCSP、扇出型封裝INFO以及2.5D/3D等。[103]

1aba6132-154c-11ee-9c1d-dac502259ad0.png

全球半導(dǎo)體封裝技術(shù)發(fā)展五大階段[101]

相比傳統(tǒng)封裝,先進(jìn)封裝無(wú)疑才是未來(lái)發(fā)展的重點(diǎn),它是突破"存儲(chǔ)墻""面積墻""功耗墻""功能墻"這"四堵墻"的關(guān)鍵。早在2012年就有研究預(yù)言,采用2.5D和3D封裝技術(shù)的集成電路將從2012年約6000萬(wàn)顆發(fā)展到2016年超5億顆。[100]

此外,先進(jìn)封裝也Chiplet(小芯片,又稱芯粒)息息相關(guān)。Chiplet指的是將單顆片上系統(tǒng)級(jí)芯片(SoC)的特定功能分散成一個(gè)個(gè)小芯片,再利用封裝技術(shù)整合在一起,構(gòu)成多功能異構(gòu)系統(tǒng)級(jí)封裝(SiP),就像搭積木一樣,可以有效縮短產(chǎn)品開發(fā)周期,降低整體成本,提高器件算力,提升產(chǎn)品良率。[104]

1ac9052a-154c-11ee-9c1d-dac502259ad0.jpg

集成電路發(fā)展路線圖[105]

目前,芯片封裝存在多種玩家,包括整合元器件制造商(IDM)、晶圓代工廠(Foundry)以及委外封測(cè)代工廠(OSAT)。

當(dāng)芯片制程發(fā)展逐漸觸及摩爾定律的底線,3D封裝、扇形封裝(FOWLP/PLP)、微間距焊線技術(shù)以及系統(tǒng)封裝(SiP)成為延續(xù)摩爾定律的可選項(xiàng),封測(cè)行業(yè)也逐漸從傳統(tǒng)封測(cè)向先進(jìn)封測(cè)過(guò)渡,先進(jìn)封裝技術(shù)在市場(chǎng)上的占比不斷提升。

Yole數(shù)據(jù)顯示,2021年全球先進(jìn)封裝市場(chǎng)規(guī)模為374億美元,預(yù)計(jì)2027年可達(dá)650億美元,年復(fù)合增長(zhǎng)率達(dá)9.6%,此外,先進(jìn)封裝市場(chǎng)增長(zhǎng)將更為顯著,成為全球封測(cè)市場(chǎng)主要增量。[106]

1ae3759a-154c-11ee-9c1d-dac502259ad0.png

2021年~2027年先進(jìn)封裝概覽[106]

從IDM和Foundry角度來(lái)看,巨頭們是先進(jìn)封裝技術(shù)的引領(lǐng)者,不斷搶灘技術(shù)創(chuàng)新。臺(tái)積電相繼推出基板上晶圓上的芯片(Chip on Wafer on Substrate,CoWoS)封裝、整合扇出型(Integrated Fan-Out,InFO)封裝、系統(tǒng)整合芯片(System on Integrated Chips,SoIC)等;英特爾推出EMIB(2.5D)、Foveros(3D)和Co-EMIB等先進(jìn)封裝技術(shù);三星電子推出扇出型面板級(jí)封裝(Fan-Out Panel Level Package,F(xiàn)OPLP)技術(shù)。

從全球委外封測(cè)(不包含IDM自有封測(cè)和晶圓代工公司提供封測(cè))角度來(lái)看,芯思想研究院(Chip Insights)數(shù)據(jù)顯示,2022年全球委外封測(cè)整體營(yíng)收為3154億元,同比增長(zhǎng)9.82%,其中前十強(qiáng)營(yíng)收達(dá)2459億元,同比增長(zhǎng)10.44%。[107]

1af50d14-154c-11ee-9c1d-dac502259ad0.png

2022年全球封測(cè)前十市場(chǎng)占有率[106]

2020年,我國(guó)封裝市場(chǎng)規(guī)模達(dá)到2509.5億元,其中先進(jìn)封裝市場(chǎng)規(guī)模351.3億元,占比例約14%,預(yù)計(jì)2025年我國(guó)先進(jìn)封裝市場(chǎng)規(guī)模將達(dá)到1137億元,占比將達(dá)32.0%。[108]

封裝工藝流程較長(zhǎng),包括劃片、裝片、鍵合、打線、塑封、電鍍、上球、打標(biāo)、切筋成型等工序[109],每種工序都需要一種設(shè)備,主要包括磨片機(jī)、劃片機(jī)、固晶機(jī)、鍵合機(jī)、塑封設(shè)備、打標(biāo)設(shè)備等。

從細(xì)分市場(chǎng)來(lái)看,貼片機(jī)、劃片機(jī)、引線機(jī)三大主要封裝設(shè)備占全球封裝設(shè)備市場(chǎng)份額分別為30%、 28%、23%,據(jù)推算2021年貼片機(jī)、劃片機(jī)、引線機(jī)對(duì)應(yīng)市場(chǎng)空間分別為21.0億美元、19.6億美元、16.1億美元。[110]

1b08b7a6-154c-11ee-9c1d-dac502259ad0.png

半導(dǎo)體封裝設(shè)備細(xì)分市場(chǎng)情況[110]

SEMI數(shù)據(jù)顯示,2021年全球半導(dǎo)體封裝設(shè)備市場(chǎng)規(guī)模為71.7億美元[111],其中大部分市場(chǎng)由國(guó)際寡頭壟斷,其中K&S球焊機(jī)全球市占率達(dá)64%,Disco劃片機(jī)和減薄機(jī)全球市占率達(dá)2/3以上,Besi、 ASM Pacific壟斷裝片機(jī)市場(chǎng),Besi、日本Towa、ASM Pacific和日本Yamada是塑封系統(tǒng)主要品牌。[110]

國(guó)產(chǎn)方面,封測(cè)設(shè)備國(guó)產(chǎn)化率不足5%,低于制程設(shè)備整體10%~15%的國(guó)產(chǎn)化率[109]。其中,劃片機(jī)以中國(guó)電子科技集團(tuán)公司第45研究所、武漢三工光電、江蘇京創(chuàng)、 沈陽(yáng)和研和鄭州琦升為代表,固晶機(jī)以新益昌、艾科瑞思、大連佳峰為代表,塑封設(shè)備以文一三佳、安徽耐科裝備為代表。

1b17e424-154c-11ee-9c1d-dac502259ad0.png

半導(dǎo)體封裝設(shè)備主要企業(yè)及情況[111]

測(cè)試設(shè)備

測(cè)試設(shè)備穿插在封裝工藝的一前和一后,即晶圓檢測(cè)(CP,Circuit Probing,又稱中測(cè))和成品測(cè)試(FT,F(xiàn)inal Test,又稱終測(cè))。

簡(jiǎn)單來(lái)說(shuō),就是在一顆顆芯片從刻好電路的晶圓上切割下來(lái)前,測(cè)試一遍各種參數(shù),通過(guò)測(cè)試后,再像裝香腸一樣,封裝成芯片,之后再測(cè)試一遍芯片的性能。

測(cè)試設(shè)備包括測(cè)試機(jī)(Tester)、探針臺(tái)(Prober)、分選機(jī)(Test Handler)三種,無(wú)論是晶圓檢測(cè)還是成品測(cè)試,測(cè)試芯片均需先將芯片引腳與測(cè)試機(jī)功能模塊相連(探針臺(tái)和分選機(jī)的作用),再通過(guò)測(cè)試機(jī)向芯片輸入信號(hào),并檢測(cè)輸出信號(hào)。[112]

三種測(cè)試設(shè)備中,測(cè)試機(jī)市場(chǎng)更大,技術(shù)壁壘也更高,不止如此,客戶還對(duì)測(cè)試精度、響應(yīng)速度、存儲(chǔ)能力、采集分析能力、應(yīng)用程序定制化、平臺(tái)延展性等方面提出越來(lái)越高的要求。

半導(dǎo)體封測(cè)是我國(guó)最早轉(zhuǎn)型的制造環(huán)節(jié),迄今為止,它已成為我國(guó)集成電路產(chǎn)業(yè)鏈中相對(duì)成熟的環(huán)節(jié)。早在2010年,我國(guó)就已在封裝測(cè)試環(huán)節(jié)實(shí)現(xiàn)632億元的銷售額,其產(chǎn)值一度占據(jù)我國(guó)集成電路產(chǎn)業(yè)總產(chǎn)值的70%以上[113]。而在2020年,我國(guó)半導(dǎo)體測(cè)試設(shè)備市場(chǎng)規(guī)模達(dá)到91.4億元,并且連續(xù)多年成為全球最大半導(dǎo)體銷售市場(chǎng)。

雖然看似一片繁榮,但實(shí)際核心的測(cè)試機(jī)國(guó)產(chǎn)市占率較低。通過(guò)查看2015年到現(xiàn)在國(guó)內(nèi)封測(cè)廠商長(zhǎng)電科技公開招標(biāo)信息,測(cè)試機(jī)主要以海外頭部廠商為主。

2019年,美國(guó)泰瑞達(dá)(Teradyne)、日本愛德萬(wàn)(Advantest)兩大龍頭全球合計(jì)市占率達(dá)到90%,占據(jù)國(guó)內(nèi)測(cè)試設(shè)備市場(chǎng)將近91.2%的市場(chǎng)份額,此外,美國(guó)科休(Cohu)、美國(guó)安捷倫(Agilent)、美國(guó)科利登(Xcerra)等廠商也長(zhǎng)期盤踞位居前幾。反觀國(guó)內(nèi)本土市場(chǎng),華峰測(cè)控占比國(guó)內(nèi)市場(chǎng)份額僅6.1%,長(zhǎng)川科技為2.4%。[114]

相比來(lái)說(shuō),愛德萬(wàn)、泰瑞達(dá)早在20世紀(jì)60~70年代進(jìn)入半導(dǎo)體測(cè)試領(lǐng)域,我國(guó)則起步較晚,所以產(chǎn)品線單一,側(cè)重于模擬/混合測(cè)試機(jī),海外廠商則在SoC測(cè)試機(jī)、存儲(chǔ)測(cè)試機(jī)、模擬/混合測(cè)試機(jī)三大種類均有涉獵。

探針臺(tái)方面,Tokyo Electron和Accretech占據(jù)全球73%份額,惠特科技(Fittech)、旺矽科技(MPI)兩家中國(guó)臺(tái)灣企業(yè)占據(jù)剩余市場(chǎng)份額大部分空間。[114]

1b3430ca-154c-11ee-9c1d-dac502259ad0.jpg

國(guó)內(nèi)外設(shè)備廠商ATE測(cè)試機(jī)對(duì)比[114]

封裝材料

封裝材料按類型可分為陶瓷、金屬和聚合物三大類。其中,90%以上使用的是塑料,陶瓷和金屬合計(jì)占比約為10%。[115]

1b40975c-154c-11ee-9c1d-dac502259ad0.png

封裝材料分類及特點(diǎn),制表丨果殼硬科技

參考資料丨《中國(guó)膠粘劑》[116]

按用途,封裝材料分為封裝基板、引線框架、鍵合絲、塑封料四大主材,全球市場(chǎng)占比分別為32.46%、16.75%、16.23%和6.81%。

封裝基板:日韓企業(yè)市占率將近達(dá)到90%,國(guó)內(nèi)主流基板廠包括深南電路、珠海越亞、興森科技、丹邦科技;

引線框架:三井高科、新光電器、SDI、ASM、長(zhǎng)華科技、HDS、寧波康強(qiáng)幾家企業(yè)市占率分別為12%、10%、9%、9%、8%、7%、4%,國(guó)內(nèi)企業(yè)主要包括寧波康強(qiáng)、寧波華龍、廈門永紅、廣州豐江微電子、深圳富美達(dá)、無(wú)錫華晶利達(dá)、濟(jì)南晶恒山田、泰州市永志、寧波埃斯科光電、四川金灣電子、天水華洋、天水華天、泰州東田、銅陵豐山三佳等,雖然企業(yè)較多,但依然沒有形成產(chǎn)業(yè)集群,且技術(shù)落后;

鍵合絲:日本田中貴金屬、新日鐵、德國(guó)賀利氏、韓國(guó)MKE、Heesung等國(guó)際廠商占據(jù)主要市場(chǎng),國(guó)內(nèi)鍵合絲生產(chǎn)企業(yè)共有二十幾家,代表企業(yè)為賀利氏、田中等,但缺乏對(duì)于新技術(shù)的掌控力;

塑封材料:95%以上集成電路都使用塑料封裝,而其中又有97%以上都是環(huán)氧樹脂,塑封材料日本住友電木、日立化成、京瓷化學(xué)、信越化學(xué)、松下電工、韓國(guó)三星Cheil占據(jù)主要市場(chǎng),國(guó)內(nèi)擁有二十幾家塑封料生產(chǎn)商,但缺乏高端產(chǎn)品。[13]

SEMI數(shù)據(jù)顯示,2022年~2027年,全球半導(dǎo)體封裝材料市場(chǎng)規(guī)模將從261億美元增長(zhǎng)至298億美元,年復(fù)合增長(zhǎng)率達(dá)2.7%。[117]

1b4d2472-154c-11ee-9c1d-dac502259ad0.png

寫在最后

不可否認(rèn)的是,雖然國(guó)內(nèi)陸續(xù)涌現(xiàn)一批有實(shí)力的制造企業(yè),但相比國(guó)際仍存在5年以上的技術(shù)落差,缺乏高端技術(shù)和產(chǎn)品。芯片制造從晶圓制造、前期芯片加工到封裝測(cè)試層層相扣,但凡其中一個(gè)環(huán)節(jié)存在落后,都會(huì)成為***制造的痛點(diǎn)。[118]

業(yè)界也普遍認(rèn)為,國(guó)產(chǎn)半導(dǎo)體設(shè)備自給率低主因在于系統(tǒng)、終端、制造和封測(cè)廠商習(xí)慣性采購(gòu)國(guó)外大廠產(chǎn)品,造成本土設(shè)備難以自證自身實(shí)際生產(chǎn)制造能力。[119]

除此之外,造芯片,晶圓廠是關(guān)鍵,這其中也蘊(yùn)含許多門道。

首先,半導(dǎo)體晶圓制造屬規(guī)模經(jīng)濟(jì)行業(yè),必須在不同地區(qū)建立多座制造工廠以充分利用閑置資源,如臺(tái)積電在中國(guó)、美國(guó)、新加坡等地均設(shè)有晶圓廠。

其次,晶圓廠一經(jīng)建立,必須保持全年每日24小時(shí)不停歇運(yùn)轉(zhuǎn),長(zhǎng)時(shí)間持續(xù)生產(chǎn)難免產(chǎn)生性能下降、故障率增加等問(wèn)題,預(yù)防性維護(hù)是做晶圓廠的必修課。

另外,晶圓廠對(duì)加工工廠的空氣潔凈度、濕度、溫度等指標(biāo)都有嚴(yán)格的要求,要知道芯片制造的無(wú)塵室內(nèi)潔凈度能夠達(dá)到醫(yī)院手術(shù)室的100000倍。

最后,制造業(yè)屬高耗能產(chǎn)業(yè),生產(chǎn)過(guò)程產(chǎn)生大量污染環(huán)境的有害氣體和液體,廢水廢氣處理和低碳都是關(guān)鍵。[120]

可以說(shuō),芯片制造是***的最為重要的推動(dòng)力,只有當(dāng)我們?nèi)鎿肀е圃?,才能真正支撐起?lái)芯片設(shè)計(jì)和應(yīng)用等環(huán)節(jié),而這將會(huì)是不斷的投入和企業(yè)不斷的整合并購(gòu)。

References:

[1] 王彪,彭琳,昌道勵(lì). 粵芯半導(dǎo)體副總裁李海明:補(bǔ)齊芯片制造短板 跨領(lǐng)域創(chuàng)業(yè)機(jī)遇多[N]. 南方日?qǐng)?bào),2021-07-16(A08).

[2] 張振哲.現(xiàn)代芯片制造技術(shù)的發(fā)展趨勢(shì)展望[J].集成電路應(yīng)用,2020,37(06):22-23.

[3] 余澤健.現(xiàn)代芯片制造技術(shù)的展望[J].集成電路應(yīng)用,2021,38(01)10.19339/j.issn.1674-2583.2021.01.002.

[4] 珠海杰理科技股份有限公司:首次公開發(fā)行股票并在科創(chuàng)板上市招股說(shuō)明書.2021.9.13.http://file.finance.sina.com.cn/211.154.219.97:9494/MRGG/CNSESZ_STOCK/2021/2021-9/2021-09-13/7540702.PDF

[5] 國(guó)信證券:半導(dǎo)體系列報(bào)告之四:半導(dǎo)體硅片摩爾定律演進(jìn),半導(dǎo)體硅材料歷久彌新.2022.3.8.https://pdf.dfcfw.com/pdf/H3_AP202203091551497056_1.pdf?1646819782000.pdf

[6] 上海硅產(chǎn)業(yè)集團(tuán)股份有限公司:首次公開發(fā)行股票并在科創(chuàng)板上市招股說(shuō)明書.2020.4.15.http://static.cninfo.com.cn/finalpage/2020-04-15/1207500150.PDF

[7] HongXiao,楊銀堂,段寶興譯.半導(dǎo)體技術(shù)導(dǎo)論[M].電子工業(yè)出版社.2013.1.P435~437

[8] 中科院半導(dǎo)體所:晶圓?為什么沒有“晶方”呢?.2019.4.16.https://mp.weixin.qq.com/s/rQbmKe-czb9zAKjMLHvaFg

[9] 有研半導(dǎo)體硅材料股份公司:首次公開發(fā)行股票并在科創(chuàng)板上市招股說(shuō)明書.2022.11.7.http://static.sse.com.cn/disclosure/listedinfo/announcement/c/new/2022-11-07/688432_20221107_39HW.pdf

[10] 廣州市半導(dǎo)體協(xié)會(huì):會(huì)員新聞 | 持續(xù)專注模擬芯片制造,粵芯半導(dǎo)體完成B輪戰(zhàn)略融資.2022.12.2.https://mp.weixin.qq.com/s/4Ebu5vFzJdJvTEtecQuT3A

[11] 廣州市半導(dǎo)體協(xié)會(huì):投資70億元,增芯月產(chǎn)2萬(wàn)片12英寸晶圓制造量產(chǎn)線正式開工.2022.12.15.https://mp.weixin.qq.com/s/-rCYQRQboNoRBT1x7_Nkxw

[12] 中商情報(bào)網(wǎng):2022年中國(guó)半導(dǎo)體硅片行業(yè)市場(chǎng)前景及投資研究報(bào)告(簡(jiǎn)版).2022.9.30.https://www.askci.com/news/chanye/20220930/1608081990895_3.shtml

[13] SIMIT戰(zhàn)略研究室:我國(guó)集成電路材料專題報(bào)告(全).2019.7.22.https://mp.weixin.qq.com/s/xNmREBgDj6HLFANxQW8zBA

[14] SEMI:https://yourewelcome.org/zh-hans/how-theyre-made/

[15] 國(guó)泰君安證券:半導(dǎo)體公司全面布局,攻堅(jiān)國(guó)產(chǎn)替代.2023.3.13

[16] IRDS:Devices will continue to aggressively scale in the next 5 years.https://irds.ieee.org/images/files/pdf/2021/2021IRDS_ES.pdf

[17] 中金點(diǎn)睛:中金看海外 | LAM:領(lǐng)先的刻蝕設(shè)備供應(yīng)商,聚焦刻蝕、薄膜、清洗三大領(lǐng)域.2023.1.30.https://mp.weixin.qq.com/s/HuF0JnleJe4SYDc8Q4q3-g

[18] 國(guó)海證券:盛美上海(688082)深度報(bào)告:半導(dǎo)體清洗設(shè)備龍頭,平臺(tái)化戰(zhàn)略開啟新征程.2022.4.27.https://pdf.dfcfw.com/pdf/H3_AP202204281562130616_1.pdf?1651143487000.pdf

[19] JacksonK A.半導(dǎo)體工藝[M].屠海令,萬(wàn)群,譯. 北京:科學(xué)出版社,1999.

[20] 張海藩,呂云翔.軟件工程[M].4版.北京:人民郵電出版社,2013.

[21] 任澤生.勻膠顯影設(shè)備工藝原理、結(jié)構(gòu)及常見故障分析[J].電子技術(shù)與軟件工程,2022(15):128-131.

[22] 張霞, 劉宏波, 顧文, 等. 全球***發(fā)展概況以及***裝備國(guó)產(chǎn)化[J]. 無(wú)線互聯(lián)科技, 2018, 15(19): 110-111.https://bdtgy.xaut.edu.cn/__local/1/3B/22/0F9DDFBC7626300CB493E851A52_5F2C0669_18A415.pdf

[23] 袁瓊雁, 王向朝, 施偉杰, 等. 浸沒式光刻技術(shù)的研究進(jìn)展[J]. 激光與光電子學(xué)進(jìn)展, 2006, 43(008): 13-20.https://www.researching.cn/ArticlePdf/m00002/2006/43/8/2.pdf

[24] 林楠, 楊文河, 陳韞懿, 等. 極紫外光刻光源的研究進(jìn)展及發(fā)展趨勢(shì)[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922002.https://www.opticsjournal.net/Articles/OJe83bd70142deb50a/Abstract

[25] 駱志軍, 劉紫玉, 王舒虹, 等. 下一代***技術(shù)的探索: 第六代雙光束超分辨***概念, 技術(shù)和未來(lái) Invited[J]. 激光與光電子學(xué)進(jìn)展, 2022, 59(9): 0922028.

[26] 陳寶欽. 光刻技術(shù)六十年[J]. 激光與光電子學(xué)進(jìn)展, 2022, 59(9): 0922031.https://www.opticsjournal.net/Articles/OJab9feb818f67ca72/FullText

[27] ASML:https://www.asml.com/en/news/stories/2021/semiconductor-manufacturing-process-steps

[28] 段晨, 宗明成, 范偉, 等. 浸沒式***對(duì)焦控制技術(shù)研究[J]. 光學(xué)學(xué)報(bào), 2018, 38(9): 0912002.https://www.researching.cn/ArticlePdf/m00006/2018/38/9/0912002.pdf

[29] Wei Y Y. Advanced lithography theory and application of VLSI[M]. Beijing : Science Press,2016: 6-10.

[30] 李艷麗, 劉顯和, 伍強(qiáng). 先進(jìn)光刻技術(shù)的發(fā)展歷程與最新進(jìn)展[J]. 激光與光電子學(xué)進(jìn)展, 2022, 59(9): 0922006.https://www.opticsjournal.net/Articles/OJ6ef620a86d7e19e/Abstract

[31] 中泰證券:***行業(yè)報(bào)告:從0到1,星辰大海.2023.4.3.https://pdf.dfcfw.com/pdf/H3_AP202304061585172426_1.pdf?1680777189000.pdf

[32] 芯思想:2022年度全球***市場(chǎng).2023.2.21.https://mp.weixin.qq.com/s/Ldt3H8L0U1SmL16YJ5Y4mQ

[33] VanZP.芯片制造:半導(dǎo)體工藝制程實(shí)用教程[M]. 趙樹武,朱踐知,于世恩,等,譯.北京:電子工業(yè)出 版社,2004.

[34] 祁峰.半導(dǎo)體涂膠顯影機(jī)產(chǎn)能分析[J].中國(guó)新技術(shù)新產(chǎn)品,2018(05):49-50.

[35] 東方證券:引領(lǐng)涂膠顯影設(shè)備國(guó)產(chǎn)替代,積極開拓清洗設(shè)備市場(chǎng).2022.3.15.https://pdf.dfcfw.com/pdf/H3_AP202203151552770527_1.pdf?1647360393000.pdf

[36] 李靖恒.芯源微向前一步[N].經(jīng)濟(jì)觀察報(bào),2022-03-21(019)

[37] 財(cái)通證券:精雕細(xì)刻筑產(chǎn)業(yè)基石,國(guó)產(chǎn)刻蝕機(jī)未來(lái)可期.2022.11.9.https://pdf.dfcfw.com/pdf/H3_AP202211101580127804_1.pdf?1668070522000.pdf

[38] Transparency Market Research:https://www.transparencymarketresearch.com/semiconductor-etching-equipment-market.html

[39] 軒中.中國(guó)芯片產(chǎn)業(yè)上的亮點(diǎn)——芯片刻蝕機(jī)生產(chǎn)企業(yè)調(diào)研[J].互聯(lián)網(wǎng)周刊,2018(11):28-29.

[40] SK海力士:薄膜技術(shù)部門:在晶圓上鋪設(shè)均勻的“繪圖紙”.2021.12.13.https://news.skhynix.com.cn/people-who-put-a-uniform-drawing-paper-on-a-wafer-thinfilm-technology/

[41] 天風(fēng)證券:半導(dǎo)體設(shè)備專題報(bào)告(一):前道設(shè)備——扼喉之手,亟待突破!.2023.5.16.https://pdf.dfcfw.com/pdf/H3_AP202305231587092369_1.pdf?1684862495000.pdf

[42] 申港證券:薄膜沉積設(shè)備競(jìng)爭(zhēng)格局討論.2020.1.7.http://pdf.dfcfw.com/pdf/H3_AP202001101373856635_1.pdf

[43] 郭運(yùn)德.半導(dǎo)體材料與器件快速熱處理[J].河南冶金,1999(02):7-9.

[44] 泛林集團(tuán):泛林小課堂 | 揭秘半導(dǎo)體制造全流程(上篇).2021.7.15.https://mp.weixin.qq.com/s/WhXjXMwX8x2PdASIsB6hqg

[45] 三星:半導(dǎo)體工藝(二)保護(hù)晶圓表面的氧化工藝.https://semiconductor.samsung.com/cn/support/tools-resources/fabrication-process/eight-essential-semiconductor-fabrication-processes-part-2-oxidation-to-protect-the-wafer/

[46] 高鵠資本:挑戰(zhàn)與機(jī)遇并存,系統(tǒng)性拆解半導(dǎo)體設(shè)備國(guó)產(chǎn)化機(jī)會(huì) | 高鵠觀點(diǎn).2023.2.28.https://mp.weixin.qq.com/s/uRI8zk0mlcd5rCzD_TzZDg

[47] 廣發(fā)證券:氧化/擴(kuò)散/退火設(shè)備:熱工藝設(shè)備受益國(guó)產(chǎn)替代,持續(xù)關(guān)注國(guó)內(nèi)領(lǐng)先廠商.2020.4.21.http://pdf.dfcfw.com/pdf/H3_AP202004231378449923_1.pdf

[48] 華經(jīng)情報(bào)網(wǎng):離子注入設(shè)備行業(yè)發(fā)展現(xiàn)狀分析,IC離子注入機(jī)呈現(xiàn)寡頭壟斷的局面「圖」.2021.8.29.https://www.huaon.com/channel/trend/743650.html

[49] 頭豹:2021年中國(guó)半導(dǎo)體系列報(bào)告:離子注入設(shè)備行業(yè)概覽.2021.9.https://pdf.dfcfw.com/pdf/H3_AP202110011519666501_1.pdf?1633599914000.pdf

[50] 中銀證券:半導(dǎo)體設(shè)備國(guó)產(chǎn)化專題十一:離子注入機(jī).2021.8.19.https://pdf.dfcfw.com/pdf/H3_AP202108191511036825_1.pdf?1630178364000.pdf

[51] 李丹.化學(xué)機(jī)械拋光(CMP)技術(shù)、設(shè)備及投資概況[J].電子產(chǎn)品世界,2019,26(06):31-34.

[52] 安集微電子科技(上海)股份有限公司:2022年年度報(bào)告.2023.4.12.http://static.cninfo.com.cn/finalpage/2023-04-12/1216380750.PDF

[53] 德邦證券:華海清科(688120.SH):CMP 設(shè)備國(guó)產(chǎn)龍頭,拓展減薄設(shè)備與晶圓再生.2022.8.4.https://pdf.dfcfw.com/pdf/H3_AP202208041576908608_1.pdf?1659648688000.pdf

[54] 張汝京.納米級(jí)稱電路制造工藝(第2版)[M].清華大學(xué)出版社.2017.1.P1611~1612

[55] 華海清科股份有限公司:首次公開發(fā)行股票并在科創(chuàng)板上市招股說(shuō)明書(上會(huì)稿).2021.6.9http://static.sse.com.cn/stock/information/c/202106/ff7916f508bf4614bb1c04a6eeebe6ff.pdf

[56] 國(guó)金證券:CMP設(shè)備稀缺龍頭,在手訂單快速增長(zhǎng).2022.6.6.https://pdf.dfcfw.com/pdf/H3_AP202206071570638055_1.pdf?1654678480000.pdf

[57] 平安證券:半導(dǎo)體清洗設(shè)備:筑芯片良率保障墻,看國(guó)產(chǎn)品牌角逐差異化.2020.8.31.https://pdf.dfcfw.com/pdf/H3_AP202008311404507199_1.pdf?1598990956000.pdf

[58] SEMI產(chǎn)業(yè)投資平臺(tái):從盛美的崛起,看新時(shí)代中國(guó)半導(dǎo)體清洗設(shè)備市場(chǎng)的發(fā)展機(jī)遇!.2021.11.4.https://mp.weixin.qq.com/s/hmXkdYaMTeJCY2EpzBIPsw

[59] 安信證券:芯片良率的重要保障,半導(dǎo)體清洗設(shè)備國(guó)產(chǎn)替代正當(dāng)時(shí).2021.11.17.https://pdf.dfcfw.com/pdf/H3_AP202111181529678979_1.pdf?1637229715000.pdf

[60] SIA:STRENGTHENING THE GLOBAL SEMICONDUCTOR SUPPLY CHAIN IN AN UNCERTAIN ERA.2021.4.https://www.semiconductors.org/wp-content/uploads/2021/05/BCG-x-SIA-Strengthening-the-Global-Semiconductor-Value-Chain-April-2021_1.pdf

[61] 陳炳欣.全球?qū)⑿陆ǘ嘧A廠 半導(dǎo)體測(cè)試市場(chǎng)迎來(lái)黃金時(shí)代[N].中國(guó)電子報(bào),2022-02-15(008)

[62] 深圳中科飛測(cè)科技股份有限公司:首次公開發(fā)行股票并在科創(chuàng)板上市招股意向書.2023.4.27.http://static.sse.com.cn/disclosure/listedinfo/announcement/c/new/2023-04-27/688361_20230427_YWP4.pdf

[63] Allied Market Research:https://www.alliedmarketresearch.com/semiconductor-metrology-and-inspection-market-A31718

[64] 平安證券:半導(dǎo)體系統(tǒng)報(bào)告(二)半導(dǎo)體設(shè)備篇.2021.5.28.https://pdf.dfcfw.com/pdf/H3_AP202105281494477002_1.pdf?1622217308000.pdf

[65] 東吳證券:半導(dǎo)體量/檢測(cè)設(shè)備專題報(bào)告:前道設(shè)備彈性最大環(huán)節(jié)之一,迎國(guó)產(chǎn)替代最佳機(jī)遇.2022.12.6.https://pdf.dfcfw.com/pdf/H3_AP202212081580922462_1.pdf?1670505704000.pdf

[66] 中國(guó)科學(xué)院:材料:科技發(fā)展的動(dòng)力和瓶頸.2002.2.6.https://www.cas.cn/xw/zjsd/200906/t20090608_640063.shtml

[67] 重慶大學(xué):院士講堂|潘復(fù)生院士為重慶大學(xué)本科生講述“材料的重要性、神奇性和鎂基能源材料的發(fā)展機(jī)遇”.2023.5.12.http://www.cmse.cqu.edu.cn/info/1571/8263.htm

[68] SEMI:GLOBAL SEMICONDUCTOR MATERIALS MARKET REVENUE REACHES RECORD $73 BILLION IN 2022, SEMI REPORTS.2023.6.13.https://www.semi.org/en/news-media-press-releases/semi-press-releases/global-semiconductor-materials-market-revenue-reaches-record-%2473-billion-in-2022-semi-reports

[69] 新微超凡:行業(yè)觀察 | 光掩膜:通往”芯時(shí)代“的底片.2023.4.12.https://mp.weixin.qq.com/s/jA5B2mW8Nar10q2oHcX4wA

[70] 安信證券:滲透率提升+***崛起雙重驅(qū)動(dòng),掩模版行業(yè)進(jìn)入高速增長(zhǎng)通道.2022.8.26.https://pdf.dfcfw.com/pdf/H3_AP202208261577714579_1.pdf?1661679485000.pdf

[71] 信達(dá)證券:光刻膠,核心半導(dǎo)體材料,步入國(guó)產(chǎn)替代機(jī)遇期. 2021.09.03

[72] 國(guó)盛證券:科華杜邦戰(zhàn)略合作,加速光刻膠國(guó)產(chǎn)替代. 2021.11.08

[73] 天風(fēng)證券:半導(dǎo)體材料皇冠上的明珠,迎來(lái)國(guó)產(chǎn)化機(jī)遇. 2021.05.31

[74] 前瞻產(chǎn)業(yè)研究所:2021年全球半導(dǎo)體光刻膠行業(yè)市場(chǎng)規(guī)模與競(jìng)爭(zhēng)格局分析 市場(chǎng)穩(wěn)步增長(zhǎng)、產(chǎn)能集中度較高.2021.09.18.https://www.qianzhan.com/analyst/detail/220/210918-8dcf7cfc.html

[75] 天風(fēng)證券:半導(dǎo)體材料皇冠上的明珠,迎來(lái)國(guó)產(chǎn)化機(jī)遇. 2021.05.31

[76] 東北證券:三種增量、兩種替代,電子成長(zhǎng)長(zhǎng)青 . 2021.12.09

[77] 景瑞電子材料股份有限公司:創(chuàng)業(yè)板向不特定對(duì)象發(fā)行可轉(zhuǎn)換公司債券募集說(shuō)明書. 2021.08.12 http://www.cninfo.com.cn/new/disclosure/detail?orgId=9900031917&announcementId=1210726586&announcementTime=2021-08-12

[78] 浙商證券股份有限公司:半導(dǎo)體材料·電子氣體投資寶典──電子氣體深度報(bào)告.2020.3.24.http://pdf.dfcfw.com/pdf/H3_AP202003241376865500_1.pdf

[79] 國(guó)家統(tǒng)計(jì)局:《戰(zhàn)略性新興產(chǎn)業(yè)分類(2018)》(國(guó)家統(tǒng)計(jì)局令第23號(hào)).2018.11.26.http://www.stats.gov.cn/tjgz/tzgb/201811/t20181126_1635848.html

[80] 蘇州金宏氣體股份有限公司:首次公開發(fā)行股票并在科創(chuàng)板上市招股說(shuō)明書.2019.12.6.http://static.sse.com.cn/stock/information/c/201912/034df3b90f2c46abb9d42662ca9ce885.pdf

[81] 集微網(wǎng):華特氣體:全球電子半導(dǎo)體氣體市場(chǎng)規(guī)模約300億元,公司占比不足2%.2021.9.7.https://www.laoyaoba.com/n/791954

[82] 李靖恒.電子特種氣體國(guó)產(chǎn)替代進(jìn)行中[N].經(jīng)濟(jì)觀察報(bào),2022-06-20(021)

[83] 何暉,包漢波.國(guó)內(nèi)外特種氣體的發(fā)展概況[J].深冷技術(shù),2017(03):55-59.

[84] 張望.電子特氣進(jìn)入景氣賽道毛利率高達(dá)84.67%[N].21世紀(jì)經(jīng)濟(jì)報(bào)道,2022-09-07(009)

[85] 柏元灝.電子特氣布局長(zhǎng)遠(yuǎn)[J].產(chǎn)城,2022,(05):58-59.

[86] 新華財(cái)經(jīng):凱美特氣:打破芯片制造用氣“卡脖子”現(xiàn)狀 多種電子特氣已實(shí)現(xiàn)量產(chǎn).2021.6.2.https://www.cnfin.com/stock-xh08/a/20210602/1988890.shtml

[87] 中國(guó)工業(yè)新聞網(wǎng):半導(dǎo)體制造“供血不足” 電子特氣國(guó)產(chǎn)化亟待提速.2022.7.2.http://www.cinn.cn/gongjing/202207/t20220702_258553.shtml

[88] 王雅雯. 電子氣體產(chǎn)業(yè)發(fā)展亟待提質(zhì)升級(jí)[N]. 中國(guó)質(zhì)量報(bào),2022-10-13(002)

[89] 張凱,張念椿,魏永明,馬曉華,楊虎,莊黎偉,湯初陽(yáng),李金榮,鄭鶴立,許振良.電子化學(xué)品分離純化材料制備及其應(yīng)用技術(shù)進(jìn)展[J].山東化工,2022,51(22):73-77+80.

[90] 付雪濤. 集成電路工藝化學(xué)品標(biāo)準(zhǔn)體系探討[J]. 信息技術(shù)與標(biāo)準(zhǔn)化, 2013 (1): 29-32.

[91] 安集微電子科技(上海)股份有限公司:2022年年度報(bào)告.2023.4.12.http://static.cninfo.com.cn/finalpage/2023-04-12/1216380750.PDF

[92] 杭州格林達(dá)電子材料股份有限公司:首次公開發(fā)行股票招股說(shuō)明書.2020.8.6.http://static.cninfo.com.cn/finalpage/2020-08-06/1208131136.PDF

[93] 江陰江化微電子材料股份有限公司:首次公開發(fā)行股票招股說(shuō)明書.2017.3.23.http://static.cninfo.com.cn/finalpage/2017-03-23/1203188461.PDF

[94] 華安證券:國(guó)內(nèi)濕電子化學(xué)品領(lǐng)軍企業(yè),新產(chǎn)能釋放未來(lái)可期.2022.2.17.https://pdf.dfcfw.com/pdf/H3_AP202202181547737897_1.pdf?1645194731000.pdf

[95] 寧波江豐電子材料股份有限公司:首次公開發(fā)行股票并在創(chuàng)業(yè)板上市招股說(shuō)明書.2017.6.2.http://static.cninfo.com.cn/finalpage/2017-06-02/1203579943.PDF

[96] 寧波江豐電子材料股份有限公司:2022年年度報(bào)告.2023.4.7.http://static.cninfo.com.cn/finalpage/2023-04-07/1216346771.PDF

[97] 首創(chuàng)證券:國(guó)內(nèi)靶材龍頭,半導(dǎo)體零部件再助增長(zhǎng).2022.3.21.https://pdf.dfcfw.com/pdf/H3_AP202203211554036010_1.pdf?1647884314000.pdf

[98] 國(guó)海證券:國(guó)內(nèi)CMP拋光液龍頭,深度受益國(guó)產(chǎn)替代——安集科技(688019)深度報(bào)告.2021.1.4

[99] 姚麗麗, 史海波, 劉昶. 半導(dǎo)體封裝測(cè)試生產(chǎn)線排產(chǎn)研究[J]. 自動(dòng)化學(xué)報(bào), 2014, 40(5): 892-900.

[100] 周曉陽(yáng).先進(jìn)封裝技術(shù)綜述[J].集成電路應(yīng)用,2018,35(06):1-7.

[101] 江蘇華海誠(chéng)科新材料股份有限公司:首次公開發(fā)行股票并在科創(chuàng)板上市招股說(shuō)明書.2023.3.30.http://static.cninfo.com.cn/finalpage/2023-03-30/1216260520.PDF

[102] 陳芳,董瑞豐.“芯”想事成:中國(guó)芯片產(chǎn)業(yè)的博弈與突圍[M]. 人民郵電出版社.2018.P377-378

[103] 孫國(guó)輝.集成電路封裝領(lǐng)域知識(shí)產(chǎn)權(quán)情況[J].中國(guó)集成電路,2022,31(11):12-16+91.

[104] 馬力,項(xiàng)敏,石磊,鄭子企.高端性能封裝技術(shù)的某些特點(diǎn)與挑戰(zhàn)[J].電子與封裝,2023,23(03):94-102.

[105] 曹立強(qiáng),侯峰澤,王啟東等.先進(jìn)封裝技術(shù)的發(fā)展與機(jī)遇[J].前瞻科技,2022,1(03):101-114.

[106] 江蘇長(zhǎng)電科技股份有限公司:2022年年度報(bào)告.2023.3.31.http://static.cninfo.com.cn/finalpage/2023-03-31/1216269388.PDF

[107] 芯思想:2022年全球委外封測(cè)增長(zhǎng)10%,通富微電躍居全球第四.2022.2.1.https://mp.weixin.qq.com/s/FYVSlpdPMN37P_lgtqPpVg

[108] 中銀證券:先進(jìn)封裝推動(dòng)設(shè)備需求高增,國(guó)產(chǎn)設(shè)備迎發(fā)展良機(jī)-先進(jìn)封裝設(shè)備行業(yè)深度.2023.5.15.https://pdf.dfcfw.com/pdf/H3_AP202305161586684818_1.pdf?1684259534000.pdf

[109] SEMI:業(yè)界對(duì)國(guó)產(chǎn)半導(dǎo)體封裝設(shè)備寄于厚望.2021.8.16.https://mp.weixin.qq.com/s/Pyv8aSSSbkaAJBpNQBdx7A

[110] 國(guó)海證券:半導(dǎo)體劃片機(jī)國(guó)產(chǎn)替代領(lǐng)頭羊.2022.2.16.https://pdf.dfcfw.com/pdf/H3_AP202202171547513865_1.pdf?1645089604000.pdf

[111] 中銀證券:先進(jìn)封裝推動(dòng)設(shè)備需求高增,國(guó)產(chǎn)設(shè)備迎發(fā)展良機(jī)-先進(jìn)封裝設(shè)備行業(yè)深度.2023.5.15.https://pdf.dfcfw.com/pdf/H3_AP202305161586684818_1.pdf?1684259534000.pdf

[112] 周萬(wàn)成.半導(dǎo)體測(cè)試設(shè)備選型研究[D].蘇州大學(xué),2012.

[113] 中商情報(bào)局:2022年中國(guó)半導(dǎo)體測(cè)試設(shè)備產(chǎn)品結(jié)構(gòu)及發(fā)展趨勢(shì)預(yù)測(cè)分析(圖).2022.11.10.https://www.askci.com/news/chanye/20221110/1144512017286.shtml

[114] 彭榮超.晶圓檢測(cè)設(shè)備產(chǎn)業(yè)的現(xiàn)狀、挑戰(zhàn)與發(fā)展趨勢(shì)研究[J].中國(guó)設(shè)備工程,2023,(07):174-176.

[115] 前瞻經(jīng)濟(jì)學(xué)人:2020年半導(dǎo)體封裝材料行業(yè)市場(chǎng)發(fā)展現(xiàn)狀分析 環(huán)氧樹脂需求量崛起.2020.8.

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    450

    文章

    49636

    瀏覽量

    417196
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26331

    瀏覽量

    210054
  • 晶圓
    +關(guān)注

    關(guān)注

    52

    文章

    4743

    瀏覽量

    127279
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    芯干線科技出席第三代半導(dǎo)體技術(shù)與產(chǎn)業(yè)鏈創(chuàng)新發(fā)展論壇

    火熱的7月,火熱的慕尼黑上海電子展(electronica China)!2024年7月8日至9日,備受矚目的"第三代半導(dǎo)體技術(shù)與產(chǎn)業(yè)鏈創(chuàng)新發(fā)展論壇"在上海新國(guó)際博覽中心與慕尼黑
    的頭像 發(fā)表于 08-21 09:48 ?299次閱讀

    筑強(qiáng)半導(dǎo)體產(chǎn)業(yè)鏈,利爾達(dá)倡議成立未來(lái)科技城科創(chuàng)聯(lián)盟半導(dǎo)體專委會(huì)

    //7月24日下午,“新動(dòng)力?芯未來(lái)”半導(dǎo)體產(chǎn)業(yè)創(chuàng)新成果對(duì)接會(huì)暨產(chǎn)業(yè)融資簽約儀式在杭州未來(lái)科技城成功舉行。本次會(huì)議旨在加強(qiáng)產(chǎn)業(yè)鏈上下游企業(yè)的協(xié)同創(chuàng)新,促進(jìn)
    的頭像 發(fā)表于 07-26 14:33 ?411次閱讀
    筑強(qiáng)<b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>產(chǎn)業(yè)鏈</b>,利爾達(dá)倡議成立未來(lái)科技城科創(chuàng)聯(lián)盟<b class='flag-5'>半導(dǎo)體</b>專委會(huì)

    半導(dǎo)體龍頭齊聚SEMiBAY/灣芯展,展示中國(guó)半導(dǎo)體產(chǎn)業(yè)鏈生態(tài)盛況

    半導(dǎo)體行業(yè)的矚目焦點(diǎn),成功贏得超過(guò)200家國(guó)內(nèi)外半導(dǎo)體業(yè)界領(lǐng)軍品牌的熱烈響應(yīng)與深度合作承諾。這一實(shí)力天團(tuán)陣容涵蓋了產(chǎn)業(yè)鏈上下游的一線力量,他們攜手共襄盛舉,齊力支撐深圳市政府傾力打造的首場(chǎng)"
    的頭像 發(fā)表于 05-13 17:27 ?410次閱讀
    <b class='flag-5'>半導(dǎo)體</b>龍頭齊聚SEMiBAY/灣芯展,展示中國(guó)<b class='flag-5'>半導(dǎo)體</b>全<b class='flag-5'>產(chǎn)業(yè)鏈</b>生態(tài)盛況

    新能源汽車帶動(dòng)半導(dǎo)體產(chǎn)業(yè)鏈業(yè)績(jī)走強(qiáng)

    近期,半導(dǎo)體產(chǎn)業(yè)鏈各公司第一季度業(yè)績(jī)穩(wěn)固上升,部分因素歸因于新能源汽車行業(yè)的強(qiáng)勁增長(zhǎng)。此背景下,北京車展成為眾多相關(guān)企業(yè)的舞臺(tái),紛紛推出創(chuàng)新產(chǎn)品展示自身實(shí)力。
    的頭像 發(fā)表于 04-28 16:35 ?389次閱讀

    東海投資設(shè)立半導(dǎo)體射頻產(chǎn)業(yè)基金助力常州半導(dǎo)體產(chǎn)業(yè)升級(jí)

    東海投資憑借其在半導(dǎo)體投資方面的專長(zhǎng),聚焦半導(dǎo)體產(chǎn)業(yè)射頻領(lǐng)域,把握新興半導(dǎo)體與各制造環(huán)節(jié)的契合點(diǎn),以國(guó)產(chǎn)替代和產(chǎn)品創(chuàng)新為切入點(diǎn),將資金投向有
    的頭像 發(fā)表于 04-23 09:48 ?328次閱讀

    29000!這是見過(guò)傳感器產(chǎn)業(yè)鏈最詳細(xì)的內(nèi)容?。ㄗ钚赂拢?/a>

    看傳感器”、“傳感器的智能化” 等方向分析未來(lái)傳感器產(chǎn)業(yè)的 發(fā)展趨勢(shì)和潛在機(jī)會(huì) 。 ? 本文涵蓋了傳感器的相關(guān)概念、發(fā)展歷史、分類方式、產(chǎn)業(yè)鏈和市場(chǎng)情況等信息。 與其他籠統(tǒng)介紹傳感器產(chǎn)業(yè)的研報(bào)資料不同, 本文具體而微,詳細(xì)說(shuō)明
    的頭像 發(fā)表于 04-12 08:45 ?308次閱讀

    凱世通聯(lián)手成立汽車-寬禁帶半導(dǎo)體產(chǎn)業(yè)鏈聯(lián)盟,倡導(dǎo)綠色低碳經(jīng)濟(jì)

    臨港新片區(qū)管委會(huì)和萬(wàn)業(yè)企業(yè)(600641.SH)下屬的凱世通等知名企業(yè)聯(lián)合宣布成立“汽車-寬禁帶半導(dǎo)體產(chǎn)業(yè)鏈聯(lián)盟”,其中,凱世通總經(jīng)理陳克祿博士作為關(guān)鍵裝備企業(yè)的代表榮耀見證了這一重要時(shí)刻。
    的頭像 發(fā)表于 04-03 09:23 ?305次閱讀

    2024上海全球投資盛會(huì)暨臨港新片區(qū)寬禁帶半導(dǎo)體產(chǎn)業(yè)鏈投資機(jī)會(huì)

    2024年3月29日,2024上海全球投資促進(jìn)會(huì)在臨港新片區(qū)召開,其中包括寬禁帶半導(dǎo)體產(chǎn)業(yè)鏈投資機(jī)遇分論壇。
    的頭像 發(fā)表于 03-29 16:35 ?615次閱讀

    臺(tái)灣限制半導(dǎo)體技術(shù)外流破壞兩岸產(chǎn)業(yè)鏈

    國(guó)臺(tái)辦新聞發(fā)言人陳斌華表達(dá)明確觀點(diǎn),他說(shuō)道,兩岸產(chǎn)業(yè)鏈供應(yīng)的形成和發(fā)展,是基于市場(chǎng)規(guī)律和企業(yè)自主選擇。而提升兩岸產(chǎn)業(yè)合作水平,構(gòu)建有力的產(chǎn)業(yè)鏈供應(yīng)
    的頭像 發(fā)表于 01-18 11:39 ?1251次閱讀

    來(lái)elexcon半導(dǎo)體展,看「先進(jìn)封裝」重塑產(chǎn)業(yè)鏈

    人類對(duì)經(jīng)濟(jì)效益的狂熱追求正在改變芯片封測(cè)這個(gè)曾經(jīng)規(guī)模不大的市場(chǎng),走在前面的企業(yè)已經(jīng)感受到,先進(jìn)封裝正在以進(jìn)擊的姿態(tài)重塑整個(gè)半導(dǎo)體產(chǎn)業(yè)鏈。 接力4個(gè)月前elexcon 2023第七屆中國(guó)系統(tǒng)級(jí)封裝大會(huì)
    的頭像 發(fā)表于 12-21 15:11 ?984次閱讀
    來(lái)elexcon<b class='flag-5'>半導(dǎo)體</b>展,看「先進(jìn)封裝」重塑<b class='flag-5'>產(chǎn)業(yè)鏈</b>

    新潮創(chuàng)投:專注半導(dǎo)體產(chǎn)業(yè)鏈投資

    自2019年來(lái),新潮創(chuàng)投逐漸淡出長(zhǎng)電科技并轉(zhuǎn)向?yàn)閷W⒂?b class='flag-5'>半導(dǎo)體及相關(guān)硬科技領(lǐng)域的私募股權(quán)投資公司,涵蓋了從設(shè)計(jì)、制造至封測(cè)、裝備及材料在內(nèi)的全產(chǎn)業(yè)鏈。經(jīng)過(guò)多年發(fā)展,公司已逐漸打造出在國(guó)內(nèi)具有一定影響力的專業(yè)投資平臺(tái)。
    的頭像 發(fā)表于 12-12 10:53 ?721次閱讀

    智慧燈桿產(chǎn)業(yè)鏈企業(yè)圖譜

    智慧燈桿產(chǎn)業(yè)鏈企業(yè)圖譜智慧燈桿產(chǎn)業(yè)鏈企業(yè)圖譜智慧燈桿產(chǎn)業(yè)鏈企業(yè)圖譜智慧燈桿產(chǎn)業(yè)鏈企業(yè)圖譜智慧燈桿產(chǎn)業(yè)鏈企業(yè)圖譜智慧燈桿
    發(fā)表于 12-11 17:36 ?1次下載

    功率半導(dǎo)體產(chǎn)業(yè)鏈分析報(bào)告

    電子發(fā)燒友網(wǎng)站提供《功率半導(dǎo)體產(chǎn)業(yè)鏈分析報(bào)告.pdf》資料免費(fèi)下載
    發(fā)表于 12-11 11:19 ?73次下載

    博捷芯打破半導(dǎo)體切割劃片設(shè)備技術(shù)壟斷,國(guó)產(chǎn)產(chǎn)業(yè)鏈實(shí)現(xiàn)高端突破

    近日,國(guó)內(nèi)半導(dǎo)體產(chǎn)業(yè)傳來(lái)喜訊,博捷芯成功實(shí)現(xiàn)批量供貨半導(dǎo)體切割劃片設(shè)備,打破國(guó)外企業(yè)在該領(lǐng)域的長(zhǎng)期技術(shù)壟斷,為國(guó)產(chǎn)半導(dǎo)體產(chǎn)業(yè)鏈在高端切割劃片
    的頭像 發(fā)表于 11-27 20:25 ?339次閱讀
    博捷芯打破<b class='flag-5'>半導(dǎo)體</b>切割劃片設(shè)備技術(shù)壟斷,國(guó)產(chǎn)<b class='flag-5'>產(chǎn)業(yè)鏈</b>實(shí)現(xiàn)高端突破

    一文搞懂國(guó)產(chǎn)MEMS傳感器產(chǎn)業(yè)鏈

    本文涵蓋了MEMS產(chǎn)業(yè)鏈的所有與流程與知識(shí),力求用最簡(jiǎn)短的內(nèi)容,最全面的視野,幫我們梳理、了解整個(gè)MEMS產(chǎn)業(yè)鏈最新的情況, 部分行業(yè)資料 數(shù)據(jù)已整理至2023年10月份最新內(nèi)容。 MEMS傳感器
    的頭像 發(fā)表于 10-31 08:39 ?657次閱讀
    一文搞懂國(guó)產(chǎn)MEMS傳感器<b class='flag-5'>產(chǎn)業(yè)鏈</b>