0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀(guān)看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

verilog實(shí)現(xiàn)簡(jiǎn)單分頻器的方案

冬至子 ? 來(lái)源:玩兒轉(zhuǎn)FPGA ? 作者:建東 ? 2023-06-28 16:17 ? 次閱讀

偶數(shù)分頻原理

偶數(shù)分頻最為簡(jiǎn)單,很容易用模為N的計(jì)數(shù)器實(shí)現(xiàn)50%占空比的時(shí)鐘信號(hào),即每次計(jì)數(shù)滿(mǎn)N(計(jì)到N-1)時(shí)輸出時(shí)鐘信號(hào)翻轉(zhuǎn)。

以4分頻為例,波形圖如下:

圖片

奇數(shù)分頻原理

方法一

使用模為2N+1的計(jì)數(shù)器,讓輸出時(shí)鐘在X-1(X在0到2N-1之間)和2N時(shí)各翻轉(zhuǎn)一次,則可得到奇數(shù)分頻器,但是占空比并不是50%(應(yīng)為 X/(2N+1))。

得到占空比為50%的奇數(shù)分頻器的基本思想是:將得到的上升沿觸發(fā)計(jì)數(shù)的奇數(shù)分頻輸出信號(hào)CLK1,和得到的下降沿觸發(fā)計(jì)數(shù)的相同(時(shí)鐘翻轉(zhuǎn)值相同)奇數(shù)分頻輸出信號(hào)CLK2,CLK1和CLK2占空比不是50%而是低電平2周期,高電平1周期。

最后將CLK1和CLK2相或之后輸出,就可以得到占空比為50%的奇數(shù)分頻器。時(shí)序圖如下,紅線(xiàn)就是我們要的50%占空比三分頻結(jié)果:

圖片

方法二

和方法一唯一的區(qū)別是,CLK1和CLK2相反,高電平變低電平,低電平變高電平,最終輸出的分頻時(shí)鐘是CLK1&CLK2。所以注意區(qū)別。波形圖如下:

圖片

整數(shù)數(shù)分頻原理

總結(jié)1和2,設(shè)整數(shù)位N,當(dāng)N為偶數(shù)時(shí),cnt>1)。這是一個(gè)編程的技巧。

代碼的一些具體技巧我將它放在了代碼注釋里面,希望大家好好看看。

設(shè)計(jì)思路

很多人在問(wèn)我,拿到一個(gè)設(shè)計(jì)的時(shí)候如何去找思路。相信這也是很初學(xué)者的疑惑。一般推薦按照以下步驟來(lái)設(shè)計(jì),一步步解決。

1.分解功能模塊——分解到每個(gè)模塊你都是能夠想得到辦法解決的為止,前期不要練習(xí)復(fù)雜的模塊,推薦練習(xí)的有今天的各種分頻,以后還可以嘗試分?jǐn)?shù)分頻,UART,localbus,SPI,IIC這些是我推薦給大家練習(xí)的,由易到難。

2.每個(gè)分解的最小功能模塊畫(huà)出時(shí)序圖,就像今天文章里分頻的時(shí)序圖一樣,主要是幫你了解到每個(gè)時(shí)鐘周期是怎么工作的,原理上是怎么實(shí)現(xiàn)的,時(shí)序圖一出來(lái),整個(gè)思路都清晰了。

3.組合功能模塊,保證模塊之間的時(shí)序是滿(mǎn)足要求的,自底向上往上堆,每堆一個(gè)模塊仿真一下,堆到頂層通過(guò)頂層仿真就算初步完成了功能的要求

設(shè)計(jì)整數(shù)分頻

上面說(shuō)了方法這里我們來(lái)試驗(yàn)下

1.分解模塊功能,也就是畫(huà)出總體框圖

圖片

2.畫(huà)時(shí)序圖,每個(gè)分頻模塊的時(shí)序圖前面已經(jīng)畫(huà)過(guò)了,奇偶選擇沒(méi)有時(shí)序圖,就是一個(gè)選擇開(kāi)關(guān),奇數(shù)分頻系數(shù)選擇奇數(shù)分頻模塊輸出,偶數(shù)分頻系數(shù)選擇偶數(shù)分頻輸出。

3.拼湊——奇偶分頻各寫(xiě)一個(gè)alwyas,最后加上奇偶選擇,如果不熟悉可以分成兩個(gè)單獨(dú)的.v文件寫(xiě),最后封裝到頂層。

設(shè)計(jì)在以上步驟以及完成了,現(xiàn)在我們來(lái)看看仿真結(jié)果:

圖片

7分頻

圖片

6分頻

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀(guān)點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA設(shè)計(jì)
    +關(guān)注

    關(guān)注

    9

    文章

    428

    瀏覽量

    26423
  • 分頻器
    +關(guān)注

    關(guān)注

    43

    文章

    445

    瀏覽量

    49587
  • 計(jì)數(shù)器
    +關(guān)注

    關(guān)注

    32

    文章

    2241

    瀏覽量

    93978
  • UART接口
    +關(guān)注

    關(guān)注

    0

    文章

    124

    瀏覽量

    15199
  • Verilog語(yǔ)言
    +關(guān)注

    關(guān)注

    0

    文章

    113

    瀏覽量

    8192
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    基于FPGA的通用數(shù)控分頻器設(shè)計(jì)方案

    本文首先介紹了各種分頻器實(shí)現(xiàn)原理,并在FPGA開(kāi)發(fā)平臺(tái)上通過(guò)VHDL文本輸入和原理圖輸入相結(jié)合的方式,編程給出了仿真結(jié)果。最后通過(guò)對(duì)各種分頻的分析,利用層次化設(shè)計(jì)思想,綜合設(shè)計(jì)出了一種基于FPGA的通用數(shù)控
    發(fā)表于 05-07 09:43 ?4945次閱讀
    基于FPGA的通用數(shù)控<b class='flag-5'>分頻器</b>設(shè)計(jì)<b class='flag-5'>方案</b>

    基于FPGA的任意分頻器設(shè)計(jì)

    這種方式只消耗不多的邏輯單元就可以達(dá)到對(duì)時(shí)鐘的操作目的。2、整數(shù)倍分頻器的設(shè)計(jì)2.1 偶數(shù)倍分頻 偶數(shù)倍分頻器實(shí)現(xiàn)非常簡(jiǎn)單,只需要一個(gè)計(jì)數(shù)
    發(fā)表于 06-19 16:15

    Verilog實(shí)現(xiàn)基于FPGA的通用分頻器

    在復(fù)雜數(shù)字邏輯電路設(shè)計(jì)中,經(jīng)常會(huì)用到多個(gè)不同的時(shí)鐘信號(hào)。介紹一種通用的分頻器,可實(shí)現(xiàn)2~256 之間的任意奇數(shù)、偶數(shù)、半整數(shù)分頻。首先簡(jiǎn)要介紹了FPGA 器件的特點(diǎn)和應(yīng)用范
    發(fā)表于 11-01 14:39 ?78次下載

    分頻系數(shù)可變的分頻器

    分頻系數(shù)可變的分頻器
    發(fā)表于 04-11 10:18 ?1314次閱讀
    <b class='flag-5'>分頻</b>系數(shù)可變的<b class='flag-5'>分頻器</b>

    聲樂(lè)分頻器

    聲樂(lè)分頻器
    發(fā)表于 10-07 11:54 ?781次閱讀
    聲樂(lè)<b class='flag-5'>分頻器</b>

    什么是分頻器 分頻器介紹

    什么是分頻器 分頻器介紹     分頻器是指將不同頻段的聲音信號(hào)區(qū)分開(kāi)來(lái),分別給于放大,然后送到相應(yīng)頻段的揚(yáng)聲中再進(jìn)行重放
    發(fā)表于 02-05 17:51 ?4211次閱讀

    FPGA實(shí)現(xiàn)小數(shù)分頻器

    介紹了一種基于FPGA的雙模前置小數(shù)分頻器分頻原理及電路設(shè)計(jì),并用VHDL編程實(shí)現(xiàn)分頻器的仿真.
    發(fā)表于 11-29 16:43 ?48次下載
    FPGA<b class='flag-5'>實(shí)現(xiàn)</b>小數(shù)<b class='flag-5'>分頻器</b>

    Verilog實(shí)現(xiàn)基于FPGA的通用分頻器的設(shè)計(jì)

    Verilog實(shí)現(xiàn)基于FPGA 的通用分頻器的設(shè)計(jì)時(shí)鐘分頻包括奇數(shù)和偶數(shù)分頻
    發(fā)表于 07-14 11:32 ?46次下載

    分頻器有哪些_分頻器分類(lèi)

    分頻器分為主動(dòng)式、被動(dòng)式、脈沖分頻器三種。主動(dòng)式電子分音的原理就是要把適當(dāng)頻率訊號(hào)傳給適當(dāng)?shù)膯误w,被動(dòng)式分音“功能、用途”是介于擴(kuò)大器與喇叭之間,由于單一喇叭無(wú)法達(dá)到“全頻段響應(yīng)”
    發(fā)表于 01-10 15:36 ?1.1w次閱讀

    音箱分頻器怎么接線(xiàn)_音箱分頻器接線(xiàn)圖解

    本文開(kāi)始介紹了音箱分頻器原理,其次介紹了音箱分頻器分類(lèi)與特點(diǎn)以及音箱分頻器電路及作用,最后介紹了音箱分頻器接線(xiàn)方法圖解。
    發(fā)表于 04-13 09:32 ?11.6w次閱讀

    奇數(shù)分頻器的介紹和實(shí)現(xiàn)

    因?yàn)榕紨?shù)分頻器過(guò)于簡(jiǎn)單,所以我們從奇數(shù)分頻器開(kāi)始說(shuō)起8 01 奇數(shù)分頻器 ? ? 假設(shè)我們要實(shí)現(xiàn)一個(gè)2N+1
    的頭像 發(fā)表于 03-12 15:44 ?6225次閱讀
    奇數(shù)<b class='flag-5'>分頻器</b>的介紹和<b class='flag-5'>實(shí)現(xiàn)</b>

    基于CPLD/FPGA的半整數(shù)分頻器設(shè)計(jì)方案

    基于CPLD/FPGA的半整數(shù)分頻器設(shè)計(jì)方案
    發(fā)表于 06-17 09:37 ?21次下載

    分頻分頻器與飲料售貨機(jī)控制verilog代碼

    分頻分頻器與飲料售貨機(jī)控制verilog代碼分享
    發(fā)表于 09-01 15:35 ?0次下載

    Verilog HDL中使用分頻器的8位計(jì)數(shù)的設(shè)計(jì)

    電子發(fā)燒友網(wǎng)站提供《在Verilog HDL中使用分頻器的8位計(jì)數(shù)的設(shè)計(jì).zip》資料免費(fèi)下載
    發(fā)表于 06-15 10:14 ?0次下載
    在<b class='flag-5'>Verilog</b> HDL中使用<b class='flag-5'>分頻器</b>的8位計(jì)數(shù)<b class='flag-5'>器</b>的設(shè)計(jì)

    一個(gè)簡(jiǎn)單分頻器電路分享

    這是一個(gè)簡(jiǎn)單分頻器電路,該電路的優(yōu)點(diǎn)是電路小,它僅使用晶體管和其他幾個(gè)組件。
    的頭像 發(fā)表于 06-10 15:55 ?783次閱讀
    一個(gè)<b class='flag-5'>簡(jiǎn)單</b>的<b class='flag-5'>分頻器</b>電路分享