0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

clock gate時序分析概念介紹

冬至子 ? 來源:數(shù)字后端IC芯片設(shè)計 ? 作者:Tao濤 ? 2023-07-03 15:06 ? 次閱讀

今天我們要介紹的時序分析概念是 clock gate 。 clock gate cell是用data signal控制clock信號的cell,它被頻繁地用在多周期的時鐘path,可以節(jié)省功耗。如下圖所示:

圖片

我們經(jīng)常說的reg2clockgate path的setup和hold檢查,就是指:clock gate上enable信號要比clock信號提前到達(dá)一段時間和保持一段時間。

通常,clock gate上的setup會比較難收斂,因?yàn)槿缦聢D對于clock gate的timing path,天然會穿在skew k;clock tree必然不balance。在實(shí)際設(shè)計中,我們一般會盡量將clock gate 單元放在寄存器附近以減小skew。也可以采用 set_clock_gating_check ,加大對clock gate timing的約束。

圖片

常見的clock gating cell有 ICG cell (integrated clock gating cell)和 clock gating logical cell (and+low latch)。現(xiàn)在一般library庫里都帶有ICG cell了,clock gating logical cell已經(jīng)不常用了

對于clock gating cell,synthesis前就會插入,本身和CTS沒太大關(guān)系,

一般只要確保clock timing check打開的就行,就是如下global變量設(shè)成true

timing_enable_clock2clock_clockgating_check

另外,CTS工具會對其做clone,declone操作.

ICG cell Clone: 受相同ICG cell控制的時序單元較多或者分布不均勻時,就會導(dǎo)致ICG cell連線過長,這時可以通過clone ICG cell進(jìn)行優(yōu)化

ICG cell De-Clone: 受相同ICG cell控制的時序單元較少,就會導(dǎo)致ICG cell數(shù)量過多,設(shè)計density增大。這時可以通過De-clone ICG cell進(jìn)行優(yōu)化。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5250

    瀏覽量

    119193
  • CTS
    CTS
    +關(guān)注

    關(guān)注

    0

    文章

    34

    瀏覽量

    13969
  • 時序分析器
    +關(guān)注

    關(guān)注

    0

    文章

    24

    瀏覽量

    5256
收藏 人收藏

    評論

    相關(guān)推薦

    時序分析基本概念介紹——時序庫Lib,除了這些你還想知道什么?

    時序分析基本概念介紹——時序庫Lib。用于描述物理單元的時序和功耗信息的重要庫文件。lib庫是最
    的頭像 發(fā)表于 12-15 17:11 ?1.2w次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b><b class='flag-5'>介紹</b>——<b class='flag-5'>時序</b>庫Lib,除了這些你還想知道什么?

    詳細(xì)介紹時序基本概念Timing arc

    時序分析基本概念介紹——Timing Arc
    的頭像 發(fā)表于 01-02 09:29 ?2.4w次閱讀
    詳細(xì)<b class='flag-5'>介紹</b><b class='flag-5'>時序</b>基本<b class='flag-5'>概念</b>Timing arc

    時序分析基本概念之生成時鐘詳細(xì)資料介紹描述

    今天我們要介紹時序分析概念是generate clock。中文名為生成時鐘。generate cloc
    的頭像 發(fā)表于 09-24 08:12 ?8755次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b>之生成時鐘詳細(xì)資料<b class='flag-5'>介紹</b>描述

    時序分析概念之spice deck介紹

    平時用得可能比較少,是PT產(chǎn)生的一個spice信息文件,可以用來和HSPICE做correlation。我們平時使用PT做得是gate level的時序分析,如果想做transistor level的
    的頭像 發(fā)表于 09-23 16:52 ?6435次閱讀

    時序分析基本概念介紹

    今天我們要介紹時序分析概念是Combinational logic. 中文名組合邏輯單元。這是邏輯單元的基本組成器件。比如我們常見的and, or, not, nand,nor等門電
    的頭像 發(fā)表于 05-14 17:27 ?5633次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b><b class='flag-5'>介紹</b>

    介紹時序分析的基本概念lookup table

    今天要介紹時序分析基本概念是lookup table。中文全稱時序查找表。
    的頭像 發(fā)表于 07-03 14:30 ?1232次閱讀
    <b class='flag-5'>介紹</b><b class='flag-5'>時序</b><b class='flag-5'>分析</b>的基本<b class='flag-5'>概念</b>lookup table

    時序分析概念min pulse width介紹

    今天我們要介紹時序分析概念是 **min pulse width** ,全稱為最小脈沖寬度檢查。這也是一種非常重要的timing arc check,經(jīng)常用在
    的頭像 發(fā)表于 07-03 14:54 ?2253次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b><b class='flag-5'>概念</b>min pulse width<b class='flag-5'>介紹</b>

    AOCV時序分析概念介紹

    今天我們要介紹時序分析概念是 **AOCV** 。全稱Stage Based Advanced OCV。我們知道,在OCV分析過程中,我們
    的頭像 發(fā)表于 07-03 16:29 ?1726次閱讀
    AOCV<b class='flag-5'>時序</b><b class='flag-5'>分析</b><b class='flag-5'>概念</b><b class='flag-5'>介紹</b>

    時序分析基本概念介紹&lt;Latency&gt;

    今天要介紹時序分析基本概念是Latency, 時鐘傳播延遲。主要指從Clock源到時序組件
    的頭像 發(fā)表于 07-04 15:37 ?2080次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b><b class='flag-5'>介紹</b>&lt;Latency&gt;

    介紹時序分析基本概念MMMC

    今天我們要介紹時序分析基本概念是MMMC分析(MCMM)。全稱是multi-mode, multi-corner, 多模式多端角
    的頭像 發(fā)表于 07-04 15:40 ?2230次閱讀
    <b class='flag-5'>介紹</b><b class='flag-5'>時序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b>MMMC

    時序分析Slew/Transition基本概念介紹

    今天要介紹時序分析基本概念是Slew,信號轉(zhuǎn)換時間,也被稱為transition time。
    的頭像 發(fā)表于 07-05 14:50 ?2531次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>Slew/Transition基本<b class='flag-5'>概念</b><b class='flag-5'>介紹</b>

    時序分析基本概念介紹&lt;generate clock&gt;

    今天我們要介紹時序分析概念是generate clock。中文名為生成時鐘。generate cloc
    的頭像 發(fā)表于 07-06 10:34 ?1972次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b><b class='flag-5'>介紹</b>&lt;generate <b class='flag-5'>clock</b>&gt;

    時序分析基本概念介紹—Timing Arc

    今天我們要介紹時序基本概念是Timing arc,中文名時序弧。這是timing計算最基本的組成元素,在昨天的lib庫介紹中,大部分
    的頭像 發(fā)表于 07-06 15:00 ?2619次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b><b class='flag-5'>介紹</b>—Timing Arc

    時序分析基本概念介紹&lt;Virtual Clock&gt;

    今天我們介紹時序分析基本概念是Virtual Clock,中文名稱是虛擬時鐘。
    的頭像 發(fā)表于 07-07 16:52 ?1178次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b><b class='flag-5'>介紹</b>&lt;Virtual <b class='flag-5'>Clock</b>&gt;

    時序分析基本概念介紹時序庫Lib

    今天主要介紹時序概念時序庫lib,全稱liberty library format(以? lib結(jié)尾),
    的頭像 發(fā)表于 07-07 17:15 ?2543次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b><b class='flag-5'>介紹</b>—<b class='flag-5'>時序</b>庫Lib