0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

采用matlab來完成對(duì)FIR濾波器的設(shè)計(jì)

冬至子 ? 來源:MYMINIEYE ? 作者:范龍飛 ? 2023-07-03 17:24 ? 次閱讀

一 .濾波器介紹

濾波器是一種用來減少,消除干擾的電器部件,有對(duì)特定頻率的頻點(diǎn)或該頻點(diǎn)以外的頻率信號(hào)進(jìn)行有效濾除,從而實(shí)現(xiàn)消除干擾、獲取特定頻率信號(hào)的功能。數(shù)字濾波器相比模擬濾波器,有著更高的精度、信噪比、無可比擬的可靠性。

FIR,IIR是數(shù)字濾波器中最常見的兩種濾波器。 FIR濾波器是有限沖擊響應(yīng),最主要特點(diǎn)是沒有反饋回路,故不存在不穩(wěn)定的問題,很容易做到嚴(yán)格的線性相位特性,另外設(shè)計(jì)方式是線性的,硬件容易實(shí)現(xiàn),對(duì)于抽取和插入,結(jié)構(gòu)簡(jiǎn)單,很容易得到高速流水線的設(shè)計(jì),有定義明確的量化噪聲,并且有較低的系數(shù)和算法四舍五入誤差預(yù)算,但是其高濾波器長(zhǎng)度的實(shí)現(xiàn)需要大量的工作量及資源;IIR濾波器是無限沖擊響應(yīng),最主要的特點(diǎn)是可以用較低的階數(shù),獲得高的選擇性,所用的存儲(chǔ)單元少,計(jì)算量小,效率高。但是這樣的效率是以非線性相位為代價(jià)的,選擇性越好,相位非線性越嚴(yán)重。本文以FIR為例介紹數(shù)字濾波器的設(shè)計(jì)及驗(yàn)證。

二.FIR濾波器設(shè)計(jì)

采用matlab的Filter Design 來完成對(duì)FIR濾波器的設(shè)計(jì),打開matlab,在命令行輸入filterDesigner,進(jìn)入到設(shè)計(jì)頁面,如下

圖片

對(duì)濾波器的設(shè)計(jì)主要涉及三個(gè)點(diǎn):通帶,阻帶,過渡帶。

主要的參數(shù)有以下5個(gè):

Fpass:通帶上限截至頻率,Apass:帶內(nèi)平坦度;

Fstop:阻帶下限截至頻率,Astop:帶外抑制幅度;

Fs:數(shù)據(jù)率

輸入數(shù)據(jù)的速率為9.14MHz,通帶上限截止頻率為2M,由于考慮到鏡像干擾,阻帶截至頻率設(shè)為2.2M,階數(shù)選擇128階,濾波器階數(shù)可以根據(jù)需要設(shè)置,也可以設(shè)置帶內(nèi)平坦度和帶外抑制幅度,來選擇所需最小階數(shù),達(dá)到自己需要的精度即可。設(shè)置完成之后,如下:

圖片

濾波器設(shè)計(jì)完成之后需要對(duì)濾波器的系數(shù)進(jìn)行定點(diǎn)量化,選擇按鈕3,定義16位的數(shù)據(jù)位寬,其中15位為小數(shù)。如下:

圖片

點(diǎn)擊Filter Design菜單欄的Targets選項(xiàng)下拉菜單的Generate C Header和XILINX Coefficient(.COE) File,分別導(dǎo)出的是C的頭文件和.coe文件(濾波器系數(shù)文件),C的頭文件如下

圖片

Coe文件為:

圖片

這里選擇產(chǎn)生Generate C Header,產(chǎn)生濾波器系數(shù),此處沒有選擇coe文件,是因?yàn)楫?dāng)vivado IP核使用coe方式導(dǎo)入時(shí),vivado不能區(qū)分小數(shù)位。

三.vivado FIR IP核介紹

1.設(shè)置濾波器的屬性 :打開vivado IP核,直接填入濾波器的系數(shù),此處系數(shù)需要量化為小數(shù),左邊為濾波器的增益,和matlab一致,如下:

圖片

濾波器的類型包括單速率(Single Rate,即數(shù)據(jù)輸出與輸入速率相同),抽?。―ecimation)和插值(Interpolation)應(yīng)用于多速率信號(hào)處理系統(tǒng),此外還支持希爾伯特變換(Hilbert)模式。抽取型一般多用于數(shù)字前端下變頻抽取樣值降低AD采樣速率,插值型用于常用于提高采樣速率。由于需要對(duì)AD數(shù)據(jù)進(jìn)行抽取濾波,這里選擇抽取類型,抽取的倍數(shù)為2倍。

2.設(shè)置FIR濾波器的通道 ,由于只使用一個(gè)通道,因此交織通道序列(Channel Sequence)選擇Basic,通道數(shù)(Number of Channels)為1;Hardware Oversampling Specification中設(shè)置過采樣模式,選擇Input Sample Period,即輸入采樣周期,也可以通過輸入采樣頻率和時(shí)鐘頻率來設(shè)置,設(shè)置如下:

圖片

3.濾波器量化設(shè)置

填入濾波器系數(shù)之后,選擇量化的位數(shù),輸入的數(shù)據(jù)位寬為16,小數(shù)位數(shù)為15,如果全精度輸出,輸出位寬為33,對(duì)后續(xù)的處理很不方便,輸出也需要進(jìn)行相應(yīng)的截尾,因此設(shè)置為:

圖片

4.FIR濾波器在FPGA上實(shí)現(xiàn)資源選取的情況,一般采用系統(tǒng)默認(rèn)即可。

5.對(duì)外輸入和對(duì)外輸入接口情況, 這里只添加復(fù)位信號(hào),可以根據(jù)自己的實(shí)際需求,添加信號(hào)。

圖片

6.Summary是對(duì)FIR濾波器設(shè)計(jì)的總結(jié),所使用的類型功能,濾波器階數(shù)等,最后點(diǎn)擊生成FIR IP核。

四.FIR 濾波器實(shí)現(xiàn)

1.C模型使用

Vivado提供了FIR IP核的C仿真模型,但是不能直接再matlab上運(yùn)行,需要搭建仿真環(huán)境,根據(jù)自己使用的matlab選擇不同的版本。搭建完成之后在matlab命令窗口輸入mex-setup,出現(xiàn)以下提示表示安裝成功

圖片

然后找到IP核C模型,在vivado工程FIR IP核的目錄下的cmodel的文件夾,F(xiàn)IR_demo.srcs\\sources_1\\ip\\fir_compiler_0\\cmodel,其中有兩個(gè)文件,lin64是linux版本的,nt64是windows版本的,選擇nt64解壓。

圖片

matlab打開解壓的文件夾,輸入run make_fir_compiler_v7_2_mex,出現(xiàn)以下表示運(yùn)行成功。

圖片

最后要調(diào)用的文件是run_fir_compiler_v7_2_mex.m,這個(gè)文件可以作為參考使用,部分內(nèi)容為:

圖片

作如下修改:

圖片

其中FIR參數(shù)設(shè)置要和vivado中的IP核配置完全相同,否則會(huì)出現(xiàn)比對(duì)數(shù)據(jù)不一致的情況,具體參數(shù)配置見下表

各類型對(duì)應(yīng)的數(shù)值選擇

圖片

2.Modelsim 仿真

仿真時(shí)需要注意,采用的抽取類型,數(shù)據(jù)速率和時(shí)鐘速率不一樣,每隔8個(gè)時(shí)鐘周期采一個(gè)數(shù)據(jù),然后輸入到FIR IP核,輸出是每隔16個(gè)時(shí)鐘周期輸出一個(gè)數(shù)據(jù),輸出延遲可在FIR IP核Summary界面得知,模塊時(shí)序如下:

圖片

3.數(shù)據(jù)對(duì)比

濾波器的截至頻率為2MHz,用matlab產(chǎn)生一個(gè)1MHz和3MHz的混合信號(hào),分別輸入C仿真模型和modelsim進(jìn)行仿真,得到的結(jié)果進(jìn)行對(duì)比如下:

圖片

可以清楚的看到輸出的結(jié)果是一致的,通過比較matlab和modelsim輸出的數(shù)據(jù),發(fā)現(xiàn)也是一致的,相減之后為零,驗(yàn)證了濾波器的正確性。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 濾波器
    +關(guān)注

    關(guān)注

    159

    文章

    7615

    瀏覽量

    176717
  • MATLAB仿真
    +關(guān)注

    關(guān)注

    4

    文章

    175

    瀏覽量

    19847
  • fir濾波器
    +關(guān)注

    關(guān)注

    1

    文章

    93

    瀏覽量

    18971
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    797

    瀏覽量

    65902
  • FPGA開發(fā)板
    +關(guān)注

    關(guān)注

    10

    文章

    120

    瀏覽量

    31435
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    基于MATLAB和Quartus II 的FIR濾波器設(shè)計(jì)與

    本文綜合介紹了基于FPGA 軟件Quartus II 和MATLABFIR 濾波器的設(shè)計(jì)仿真,將兩大軟件綜合運(yùn)用后大大縮減了設(shè)計(jì)研發(fā)的時(shí)間,在算法結(jié)構(gòu)上利用了流水線等優(yōu)化方式。
    發(fā)表于 11-30 14:21 ?117次下載

    基于MATLAB與QUARTUS II的FIR濾波器設(shè)計(jì)與驗(yàn)

    基于MATLAB與QUARTUS II的FIR濾波器設(shè)計(jì)與驗(yàn)證 FIR濾波器是一種應(yīng)用廣泛的基本數(shù)字信號(hào)處理元件。
    發(fā)表于 05-13 17:16 ?54次下載

    MATLAB設(shè)計(jì)FIR濾波器的方法

    MATLAB設(shè)計(jì)FIR濾波器的方法 摘? 要 介紹了利用MATLAB信號(hào)處理工具箱進(jìn)行FIR濾波器
    發(fā)表于 01-16 18:12 ?1.5w次閱讀
    用<b class='flag-5'>MATLAB</b>設(shè)計(jì)<b class='flag-5'>FIR</b><b class='flag-5'>濾波器</b>的方法

    基于MATLAB與QUARTUS II的FIR濾波器設(shè)計(jì)與驗(yàn)

    基于MATLAB與QUARTUS II的FIR濾波器設(shè)計(jì)與驗(yàn)證 1 引言    FIR數(shù)字濾波器能夠滿足
    發(fā)表于 12-12 11:23 ?2534次閱讀
    基于<b class='flag-5'>MATLAB</b>與QUARTUS II的<b class='flag-5'>FIR</b><b class='flag-5'>濾波器</b>設(shè)計(jì)與驗(yàn)

    基于MatlabFIR濾波器的優(yōu)化設(shè)計(jì)方法

      通過實(shí)例介紹了基于MatlabFIR濾波器的優(yōu)化設(shè)計(jì)方法。3種結(jié)果比較發(fā)現(xiàn),在同樣階數(shù)下,優(yōu)化設(shè)計(jì)可以獲得最
    發(fā)表于 12-17 11:14 ?2075次閱讀
    基于<b class='flag-5'>Matlab</b>的<b class='flag-5'>FIR</b><b class='flag-5'>濾波器</b>的優(yōu)化設(shè)計(jì)方法

    基于MatlabFIR帶通濾波器設(shè)計(jì)與實(shí)現(xiàn)

    本文通過介紹一種借助Matlab的FDATOOL濾波器設(shè)計(jì)分析軟件,設(shè)計(jì)了一種FIR數(shù)字帶通濾波器,并對(duì)一段含噪語音信號(hào)進(jìn)行濾波。利用匯編語
    發(fā)表于 07-26 10:45 ?2.9w次閱讀
    基于<b class='flag-5'>Matlab</b>的<b class='flag-5'>FIR</b>帶通<b class='flag-5'>濾波器</b>設(shè)計(jì)與實(shí)現(xiàn)

    基于MATLAB與FPGA的FIR濾波器設(shè)計(jì)與仿真

    數(shù)字濾波器是數(shù)字信號(hào)處理領(lǐng)域內(nèi)的重要組成部分。FIR濾波器又以其嚴(yán)格的線性相位及穩(wěn)定性高等特性被廣泛應(yīng)用。本文結(jié)合MATLAB工具軟件介紹了FIR
    發(fā)表于 09-25 11:34 ?120次下載
    基于<b class='flag-5'>MATLAB</b>與FPGA的<b class='flag-5'>FIR</b><b class='flag-5'>濾波器</b>設(shè)計(jì)與仿真

    FIR數(shù)字濾波器MATLAB仿真和DSP的實(shí)現(xiàn)

    分析了數(shù)字濾波器的原理,介紹了采用窗體函數(shù)法完成FIR數(shù)字濾波器,包括MATLAB仿真和DSP的
    發(fā)表于 06-09 16:21 ?158次下載

    基于Matlab/Simulink的FIR數(shù)字濾波器的設(shè)計(jì)與實(shí)現(xiàn)

    基于Matlab/Simulink的FIR數(shù)字濾波器的設(shè)計(jì)與實(shí)現(xiàn)。
    發(fā)表于 01-15 15:16 ?39次下載

    基于matlab和fpga的FIR濾波器設(shè)計(jì)

    基于matlab和fpga的FIR濾波器設(shè)計(jì),有興趣的同學(xué)可以下載學(xué)習(xí)
    發(fā)表于 04-27 15:51 ?58次下載

    基于MATLABFIR濾波器設(shè)計(jì)與濾波

    基于MATLABFIR濾波器設(shè)計(jì)與濾波。
    發(fā)表于 12-14 22:08 ?64次下載

    基于MATLAB與QUARTUS+II的FIR濾波器設(shè)計(jì)與驗(yàn)證

    基于MATLAB與QUARTUS+II的FIR濾波器設(shè)計(jì)與驗(yàn)證
    發(fā)表于 09-18 10:17 ?11次下載
    基于<b class='flag-5'>MATLAB</b>與QUARTUS+II的<b class='flag-5'>FIR</b><b class='flag-5'>濾波器</b>設(shè)計(jì)與驗(yàn)證

    FIR濾波器MATLAB與FPGA設(shè)計(jì)

    數(shù)字濾波器從實(shí)現(xiàn)結(jié)構(gòu)上劃分,有FIR和IIR兩種。FIR的特點(diǎn)是:線性相位、消耗資源多;IIR的特點(diǎn)是:非線性相位、消耗資源少。由于FIR系統(tǒng)的線性相位特點(diǎn),設(shè)計(jì)中絕大多數(shù)情況都
    的頭像 發(fā)表于 04-24 14:40 ?3103次閱讀

    利用Matlab工具箱設(shè)計(jì)FIR和IIR濾波器

    利用Matlab工具箱設(shè)計(jì)FIR和IIR濾波器
    的頭像 發(fā)表于 09-26 14:59 ?868次閱讀

    基于Matlab和DSP設(shè)計(jì)FIR數(shù)字濾波器方案

    介紹了基于Matlab和DSP設(shè)計(jì)FIR數(shù)字濾波器的四種方法,并經(jīng)過實(shí)驗(yàn),將一個(gè)多頻率成分的信號(hào)通過該濾波器并進(jìn)行比對(duì),實(shí)驗(yàn)結(jié)果表明,這四種方法都能有效地實(shí)現(xiàn)
    發(fā)表于 10-19 16:29 ?0次下載
    基于<b class='flag-5'>Matlab</b>和DSP設(shè)計(jì)<b class='flag-5'>FIR</b>數(shù)字<b class='flag-5'>濾波器</b>方案