0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

時序分析Slew/Transition基本概念介紹

冬至子 ? 來源:數(shù)字后端IC芯片設計 ? 作者:Tao濤 ? 2023-07-05 14:50 ? 次閱讀

今天要介紹的時序分析基本概念是 Slew ,信號轉換時間,也被稱為transition time。是指電壓從10%VDD上升到90%VDD所需要的時間,或者是從90%VDD下降到10%VDD所需要的時間,當然也可以是20%VDD上升到80%VDD的時間,具體要看timing lib庫里面的定義,如:

# Falling edge thresholds:

slew_lower_threshold_pct_fall : 10.0;

slew_upper_threshold_pct_fall : 90.0;

# Rising edge thresholds:

slew_lower_threshold_pct_rise : 10.0;

slew_upper_threshold_pct_rise : 90.0;

圖片

信號上升和下降的轉換時間過長意味著該單元內(nèi)部電路的速度很慢,延時就比較長。在sdc中,用以下命令來限制slew大小。

set_max_transition 0.1 -clock_path [all_clocks]

對CTS來說,這也是一個target值,當你設定了一個slew target后,CTS engine會通過插入buffer或者upsize等操作,盡可能地去滿足整個target值。當然,slew也不是越小越好,過小的slew會導致CTS階段在clock path上插入過多的buffer,從而影響到skew的balance以及功耗和面積。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 信號轉換器
    +關注

    關注

    0

    文章

    78

    瀏覽量

    13842
  • 時序分析
    +關注

    關注

    2

    文章

    127

    瀏覽量

    22527
  • VDD
    VDD
    +關注

    關注

    1

    文章

    310

    瀏覽量

    32583
  • CTS
    CTS
    +關注

    關注

    0

    文章

    34

    瀏覽量

    13969
  • 時序分析器
    +關注

    關注

    0

    文章

    24

    瀏覽量

    5256
收藏 人收藏

    評論

    相關推薦

    時序分析中的一些基本概念

    時序分析是FPGA設計中永恒的話題,也是FPGA開發(fā)人員設計進階的必由之路。慢慢來,先介紹時序分析中的一些
    發(fā)表于 10-21 09:28 ?1823次閱讀

    時序分析中的一些基本概念

    時序分析時FPGA設計中永恒的話題,也是FPGA開發(fā)人員設計進階的必由之路。慢慢來,先介紹時序分析中的一些
    發(fā)表于 02-11 19:08 ?4165次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>中的一些<b class='flag-5'>基本概念</b>

    時序分析基本概念——STA概述簡析

    時序分析基本概念介紹——STA概述,動態(tài)時序分析,主要是通過輸入向量作為激勵,來驗證整個設計的
    的頭像 發(fā)表于 12-14 17:01 ?2.9w次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b>——STA概述簡析

    時序分析基本概念介紹——時序庫Lib,除了這些你還想知道什么?

    時序分析基本概念介紹——時序庫Lib。用于描述物理單元的時序和功耗信息的重要庫文件。lib庫是最
    的頭像 發(fā)表于 12-15 17:11 ?1.2w次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介紹</b>——<b class='flag-5'>時序</b>庫Lib,除了這些你還想知道什么?

    詳細介紹時序基本概念Timing arc

    時序分析基本概念介紹——Timing Arc
    的頭像 發(fā)表于 01-02 09:29 ?2.4w次閱讀
    詳細<b class='flag-5'>介紹</b><b class='flag-5'>時序</b><b class='flag-5'>基本概念</b>Timing arc

    時序分析基本概念介紹

    今天我們要介紹時序分析概念是Combinational logic. 中文名組合邏輯單元。這是邏輯單元的基本組成器件。比如我們常見的and, or, not, nand,nor等門電
    的頭像 發(fā)表于 05-14 17:27 ?5633次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介紹</b>

    時序分析時序約束的基本概念詳細說明

    時序分析時FPGA設計中永恒的話題,也是FPGA開發(fā)人員設計進階的必由之路。慢慢來,先介紹時序分析中的一些
    發(fā)表于 01-08 16:57 ?28次下載
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>和<b class='flag-5'>時序</b>約束的<b class='flag-5'>基本概念</b>詳細說明

    FPGA設計中時序分析基本概念

    時序分析時FPGA設計中永恒的話題,也是FPGA開發(fā)人員設計進階的必由之路。慢慢來,先介紹時序分析中的一些
    的頭像 發(fā)表于 03-18 11:07 ?2542次閱讀

    介紹時序分析基本概念lookup table

    今天要介紹時序分析基本概念是lookup table。中文全稱時序查找表。
    的頭像 發(fā)表于 07-03 14:30 ?1233次閱讀
    <b class='flag-5'>介紹</b><b class='flag-5'>時序</b><b class='flag-5'>分析</b>的<b class='flag-5'>基本概念</b>lookup table

    時序分析基本概念介紹&lt;Latency&gt;

    今天要介紹時序分析基本概念是Latency, 時鐘傳播延遲。主要指從Clock源到時序組件Clock輸入端的延遲時間。
    的頭像 發(fā)表于 07-04 15:37 ?2080次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介紹</b>&lt;Latency&gt;

    介紹時序分析基本概念MMMC

    今天我們要介紹時序分析基本概念是MMMC分析(MCMM)。全稱是multi-mode, multi-corner, 多模式多端角
    的頭像 發(fā)表于 07-04 15:40 ?2230次閱讀
    <b class='flag-5'>介紹</b><b class='flag-5'>時序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b>MMMC

    時序分析基本概念介紹&lt;Skew&gt;

    今天要介紹時序分析基本概念是skew,我們稱為偏差。
    的頭像 發(fā)表于 07-05 10:29 ?3079次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介紹</b>&lt;Skew&gt;

    時序分析基本概念介紹—Timing Arc

    今天我們要介紹時序基本概念是Timing arc,中文名時序弧。這是timing計算最基本的組成元素,在昨天的lib庫介紹中,大部分
    的頭像 發(fā)表于 07-06 15:00 ?2619次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介紹</b>—Timing Arc

    時序分析基本概念介紹&lt;Virtual Clock&gt;

    今天我們介紹時序分析基本概念是Virtual Clock,中文名稱是虛擬時鐘。
    的頭像 發(fā)表于 07-07 16:52 ?1178次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介紹</b>&lt;Virtual Clock&gt;

    時序分析基本概念介紹&lt;ILM&gt;

    今天我們要介紹時序分析基本概念是ILM, 全稱Interface Logic Model。是一種block的結構模型。
    的頭像 發(fā)表于 07-07 17:26 ?2561次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介紹</b>&lt;ILM&gt;