0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子行業(yè)專題分析報告:大算力時代下先進(jìn)封裝大有可為

QuTG_CloudBrain ? 來源:未來智庫 ? 2023-07-06 11:14 ? 次閱讀

1 先進(jìn)封裝成為后摩爾時代提升系統(tǒng)性能的主流趨勢

1.1 摩爾定律經(jīng)濟(jì)效能達(dá)到瓶頸,先進(jìn)封裝提升芯片系統(tǒng)性能

摩爾定律持續(xù)推進(jìn)帶來的經(jīng)濟(jì)效能達(dá)到瓶頸。摩爾定律是指隨著技術(shù)演進(jìn),芯片上容 納的晶體管數(shù)量會呈指數(shù)級增長,每1.5-2年翻一倍,同時帶來芯片性能提升一倍或 成本下降一半的效應(yīng)。隨著芯片制程工藝的不斷發(fā)展,芯片上容納的晶體管數(shù)量不斷 增加,但單位數(shù)量晶體管的成本下降幅度正在持續(xù)降低。根據(jù)IBS的統(tǒng)計及預(yù)測,從 16nm到10nm,每10億顆晶體管的成本降低了30.7%,從7nm到5nm成本下降了17.8%,而 從5nm到3nm成本僅下降了4.2%。

集成電路中晶體管尺寸的微縮逐漸接近硅原子的物理極限。芯片工藝尺寸日益走向 極致(3nm至1nm),而1nm的寬度中僅能容納2個硅原子晶格,如若 進(jìn)一步微縮,就將進(jìn)入量子物理的世界,面臨現(xiàn)階段較為棘手的量子隧穿效應(yīng)和散熱 等問題。晶體管數(shù)量不斷增加會造成短溝道效應(yīng),即當(dāng)通道長度縮短到量子物理閾值 時會產(chǎn)生量子隧穿效應(yīng),從而使晶體管的性能衰減。此外,晶體管工作會持續(xù)產(chǎn)生熱 量,當(dāng)數(shù)以萬計的晶體管以較短的間隔放置時,也需要解決散熱問題。

06c3f406-1b4e-11ee-962d-dac502259ad0.png

先進(jìn)封裝成為超越摩爾定律、提升系統(tǒng)性能的關(guān)鍵路徑之一。目前集成電路發(fā)展主要 沿著兩個技術(shù)路線進(jìn)行,一個是摩爾定律的延伸,即向芯片小型化的方向發(fā)展,通過 微縮半導(dǎo)體器件的晶體管尺寸以增加可容納的晶體管數(shù)量,以單個芯片性能的提升 為目標(biāo);另一個是超越摩爾定律,即以先進(jìn)封裝技術(shù)的發(fā)展為主要方向,將處理、模 擬等多種芯片集成在一個系統(tǒng)內(nèi),實現(xiàn)系統(tǒng)級封裝(System in Package, SiP),以系 統(tǒng)性能的提升為目標(biāo)。

1.2 封裝技術(shù)發(fā)展趨勢:芯片性能不斷提高、系統(tǒng)趨于小型化

封裝技術(shù)的發(fā)展史是芯片性能不斷提高、系統(tǒng)不斷小型化的歷史。封裝是半導(dǎo)體晶圓 制造的后道工序之一,目的是支撐、保護(hù)芯片,使芯片與外界電路連接、增強(qiáng)導(dǎo)熱性 能等。封裝技術(shù)的發(fā)展大致分為4個階段:第一、第二階段(1990年以前)以DIP、SOP 和LCC等技術(shù)為主,屬于傳統(tǒng)封裝;第三階段(1990至2000年)已經(jīng)開始應(yīng)用先進(jìn)封 裝技術(shù),這一階段BGA、CSP和FC技術(shù)已開始大規(guī)模生產(chǎn);第四階段(2000年至今), 先進(jìn)封裝技術(shù)從二維開始向三維拓展,出現(xiàn)了2.5D/3D封裝、晶圓級封裝、扇出型封 裝等封裝技術(shù)。先進(jìn)封裝也稱為高密度封裝(HDAP,High Density Advanced Package), 采用先進(jìn)的設(shè)計和工藝對芯片進(jìn)行封裝級重構(gòu),并有效提升系統(tǒng)性能。相較于傳統(tǒng)封 裝,先進(jìn)封裝具有引腳數(shù)量增加、芯片系統(tǒng)更小型化且系統(tǒng)集成度更高等特點。

先進(jìn)封裝技術(shù)的發(fā)展主要朝上游晶圓制程和下游模組兩個方向。1)向上游晶圓制 程領(lǐng)域發(fā)展,該方向發(fā)展的技術(shù)即晶圓級封裝,通過晶圓重構(gòu)工藝在晶圓上完成重 布線,并通過晶圓凸點工藝形成與外部互聯(lián)的金屬凸點以進(jìn)行封裝,該技術(shù)的特點 是可以在更小的封裝面積下容納更多的引腳;2)向下游模組領(lǐng)域拓展,即發(fā)展系 統(tǒng)級封裝技術(shù),將以前分散貼裝在PCB板上的多種功能芯片,包括處理器、存儲器等功能芯片以及電容、電阻元器件集成為一顆芯片,壓縮模塊體積、縮短電氣連接 距離,提升芯片系統(tǒng)整體功能性和靈活性。

06e66b4e-1b4e-11ee-962d-dac502259ad0.png

1.3 先進(jìn)封裝的技術(shù)與形態(tài)根據(jù)需求不斷迭代,多應(yīng)用于高性能場景

先進(jìn)封裝的四要素是Bump、RDL、Wafer和TSV,具備四要素中任意一種技術(shù)即為先進(jìn) 封裝。1)Bump(金屬凸點)技術(shù),普遍應(yīng)用于Flip-Chip(倒裝焊)技術(shù)中,處于晶 圓之間互聯(lián)的位置,起著電氣互聯(lián)和應(yīng)力緩沖的作用,其發(fā)展趨勢是使金屬凸點越來 越小,直至發(fā)展為Hybrid Bonding(混合鍵合)技術(shù),該技術(shù)制造的電介質(zhì)表面光滑、 沒有凸點,且具有更高的集成密度;2)RDL(重布線層)技術(shù),用于X與Y平面電氣延 伸和互聯(lián),適用于為I/O端口進(jìn)行寬松排布,廣泛應(yīng)用于WLP(晶圓級封裝)技術(shù)和 2.5D/3D技術(shù)中,但不適用于Flip-Chip技術(shù);3)Wafer(晶圓)技術(shù),可以用作芯片 的基底和WLP封裝的載體,也可以與硅基板一同實現(xiàn)2.5D集成,技術(shù)發(fā)展趨勢是使 Wafer面積逐漸增大;4)TSV(硅通孔)技術(shù),用于Z軸電氣互聯(lián),是實現(xiàn)多維立體結(jié) 構(gòu)封裝的關(guān)鍵技術(shù)。

RDL和TSV使封裝技術(shù)在X-Y-Z三維空間中具備延伸和發(fā)展的可能性。重布線層(RDL) 技術(shù)使得晶圓級封裝得以在X-Y平面進(jìn)行延伸,誕生了WLCSP、FOWLP、INFO、FOPLP、 EMIB等技術(shù)?;诠柰祝═SV)技術(shù),封裝系統(tǒng)沿著Z軸進(jìn)行延伸,實現(xiàn)了二維向三 維的拓展,出現(xiàn)了2.5D和3D集成,并演變出CoWoS、HBM、Co-EMIB、HMC、Wide-IO、 Foveros、SoIC、X-Cube等技術(shù)。

先進(jìn)封裝的技術(shù)與形態(tài)會根據(jù)應(yīng)用側(cè)需求不斷變化與迭代。從WLP、SiP、2.5D/3D等 技術(shù)方案出發(fā),各廠商根據(jù)應(yīng)用側(cè)需求進(jìn)一步迭代出更深層的技術(shù)。以晶圓級封裝 (WLP)技術(shù)為例,起初WLP技術(shù)采用Fan-in形態(tài),隨著引腳數(shù)要求增加,F(xiàn)an-out形 態(tài)逐漸成為主流;而后出于提升系統(tǒng)性能的目標(biāo),臺積電將多個芯片F(xiàn)an-out工藝集 成起來,誕生了INFO技術(shù);而從節(jié)省成本的角度出發(fā),單個芯片的FOWLP技術(shù)又進(jìn)一 步迭代出面板級封裝技術(shù)(FOPLP)。

先進(jìn)封裝技術(shù)能提升系統(tǒng)的功能密度,多應(yīng)用于高性能場景。目前主流的先進(jìn)封裝技 術(shù)主要由國際半導(dǎo)體龍頭廠商研發(fā),技術(shù)研發(fā)的維度從2D逐漸提升至2.5D和3D,系統(tǒng) 的功能密度也隨之提升。同時,先進(jìn)封裝主要應(yīng)用于高性能計算、高端服務(wù)器等領(lǐng)域, 因此產(chǎn)品技術(shù)壁壘與價值量相對傳統(tǒng)封裝會更高。

07005be4-1b4e-11ee-962d-dac502259ad0.png

系統(tǒng)級封裝(SiP)屬于廣義的先進(jìn)封裝,側(cè)重于系統(tǒng)屬性。SiP是指在封裝內(nèi)形成一 個系統(tǒng),關(guān)注系統(tǒng)在封裝內(nèi)的實現(xiàn),所以系統(tǒng)是其重點關(guān)注的對象,與之對應(yīng)的是CSP (單芯片封裝)。但SiP并不是先進(jìn)封裝特定的某種技術(shù)方案,因為SiP可能采用傳統(tǒng)的Wire Bonding工藝,也可能采用先進(jìn)封裝的Flip Chip工藝。但隨著系統(tǒng)對性能、 功耗、體積的要求越來越高,集成密度的需求也越來越高,SiP也會越來越多地采用 先進(jìn)封裝工藝。

Chiplet通過先進(jìn)封裝工藝實現(xiàn)。Chiplet也稱為小芯片或芯粒,該技術(shù)通過將多個芯 片裸片(Die)通過內(nèi)部互聯(lián)技術(shù)集成在一個封裝內(nèi),構(gòu)成專用功能的異構(gòu)芯片。通 過采用2.5D、3D等高級封裝技術(shù),Chiplet可實現(xiàn)多芯片之間的高速互聯(lián),提高芯片 系統(tǒng)的集成度,擴(kuò)展其性能、功耗優(yōu)化的空間。相對SoC系統(tǒng)級芯片的傳統(tǒng)設(shè)計方法, Chiplet技術(shù)方案不需要購買IP或者自研生產(chǎn),只需要購買已經(jīng)實現(xiàn)好的小硅片進(jìn)行 封裝集成,且IP可以復(fù)用。所以Chiplet可以看成是一種硬核形式的IP,但它是以芯 片的形式提供的。

3D Chiplet是Chiplet進(jìn)一步的發(fā)展。3D Chiplet是由AMD在2021年6月首先提出的, 通過3D TSV將Chiplet集成在一起,同時為了提高互聯(lián)密度,采用了no Bump的垂直互 聯(lián)結(jié)構(gòu)。目前3D Chiplet產(chǎn)品是由臺積電以SoIC的先進(jìn)封裝技術(shù)進(jìn)行代工,主要應(yīng)用 在3D V-Cache上,將包含有64MB L3 Cache的Chiplet以3D堆疊的形式與處理器封裝 在一起。

2 先進(jìn)封裝市場空間廣闊,為半導(dǎo)體設(shè)備行業(yè)帶來增量

2.1 先進(jìn)封裝市場空間廣闊,中國大陸先進(jìn)封裝產(chǎn)業(yè)蓬勃發(fā)展

先進(jìn)封裝市場占比逐漸高于傳統(tǒng)封裝。據(jù)Yole數(shù)據(jù),2021年全球封裝市場總營收為 844億美元,其中先進(jìn)封裝占比44%,市場規(guī)模達(dá)374億美元。據(jù)Yole預(yù)測,2027年全 球封裝市場規(guī)模為1221億美元,其中先進(jìn)封裝市場規(guī)模為650億美元,占比將提升至 53%。2021-2027年間先進(jìn)封裝市場規(guī)模的年化復(fù)合增速為9.6%,將為全球封測市場貢 獻(xiàn)主要增量。

070f1f9e-1b4e-11ee-962d-dac502259ad0.jpg

受益于國產(chǎn)替代加速及制造業(yè)的發(fā)展,中國大陸的先進(jìn)封裝市場蓬勃發(fā)展。根據(jù) Frost&Sullivan統(tǒng)計,中國大陸2020年先進(jìn)封裝市場規(guī)模為351.3億元,預(yù)計2025年 將增長至1,136.6億元,2020-2025年間年化復(fù)合增速達(dá)26.47%,高于Yole對全球先進(jìn) 封裝市場年化復(fù)合增速9.6%的預(yù)測值。

倒裝封裝目前是先進(jìn)封裝行業(yè)營收規(guī)模最大的技術(shù)方案,嵌入式、3D堆疊和晶圓級扇 出型等高階封裝成長速度較快。根據(jù)互連技術(shù)的分類,目前倒裝封裝技術(shù)的營收規(guī)模 最大,其次是3D堆疊封裝及晶圓級扇出型封裝。許多普通規(guī)格的芯片產(chǎn)品均需要采用 倒裝封裝(Flip-chip)進(jìn)行內(nèi)部封裝,因此目前倒裝封裝的市場規(guī)模最大。而晶圓 級封裝(WLCSP)和嵌入式封裝(ED)屬于更高階的封裝技術(shù),主要應(yīng)用于高端芯片 封裝,目前市場應(yīng)用規(guī)模相對較小。但是從成長速度看,高階封裝技術(shù)如嵌入式封裝、 3D堆疊、晶圓級扇出型封裝是發(fā)展最快的三種方案,根據(jù)Yole的預(yù)測,2020-2026年 市場規(guī)模年化復(fù)合增速預(yù)計分別為25%、22%及15%。

2.2 先進(jìn)封裝為半導(dǎo)體設(shè)備行業(yè)帶來增量

在先進(jìn)封裝工藝中,對傳統(tǒng)封裝設(shè)備的使用需求和精度要求都有所提升。傳統(tǒng)封裝測 試主要位于晶圓制造鏈的后道工序,包括減薄、切割、貼片、鍵合、打標(biāo)、測試等步 驟,需要使用減薄機(jī)、劃片機(jī)、貼片機(jī)、引線鍵合機(jī)、激光打標(biāo)機(jī)等半導(dǎo)體設(shè)備。隨 著先進(jìn)封裝的發(fā)展,在傳統(tǒng)封裝工藝的基礎(chǔ)上也會有所改進(jìn),主要包括:(1)在先進(jìn) 封裝工藝中,芯片堆疊的層數(shù)增加,為了保持芯片體積較小,對減薄設(shè)備的精度提出更高要求;(2)在Chiplet設(shè)計中,制造小芯片需要更多的的切割和貼合,使得劃片 機(jī)、貼片機(jī)的需求數(shù)量和精度要求都有所提升;同時Chiplet技術(shù)中每個裸片都需要 進(jìn)行測試,且將小芯片集成后還需要進(jìn)行系統(tǒng)性的測試,因而亦增加了測試設(shè)備的需 求。

07212e32-1b4e-11ee-962d-dac502259ad0.png

在先進(jìn)封裝工藝中,除了傳統(tǒng)封裝設(shè)備,還需要使用晶圓制造前道工藝的設(shè)備。先進(jìn) 封裝使用的設(shè)備與晶圓制造的前道工藝開始有所重疊,而不只是傳統(tǒng)封裝所需要的 減薄機(jī)、劃片機(jī)、貼片機(jī)等,刺激設(shè)備需求應(yīng)封裝技術(shù)發(fā)展而增長。在RDL、Bumping、 TSV等互連技術(shù)中,均需要使用涂膠機(jī)、***等設(shè)備;TSV技術(shù)需要鉆孔,還增加了 刻蝕機(jī)的需求。此外對傳統(tǒng)封裝設(shè)備中的減薄機(jī)、劃片機(jī)也需要進(jìn)行一定改進(jìn),比如 將設(shè)備進(jìn)一步設(shè)計為帶凸點晶圓減薄機(jī)、帶凸點晶圓劃片機(jī)等,同時對厚度、劃切道 寬度等均提出了更高的精度要求。

國內(nèi)先進(jìn)封裝產(chǎn)業(yè)鏈所用設(shè)備有望部分實現(xiàn)國產(chǎn)替代。近年來國內(nèi)半導(dǎo)體設(shè)備廠商 發(fā)展迅速,涌現(xiàn)了北方華創(chuàng)、中微半導(dǎo)體、沈陽拓荊、華海清科、精測電子等具備較 先進(jìn)制程設(shè)備工藝實力的公司,對于先進(jìn)封裝所使用的刻蝕機(jī)、涂膠顯影設(shè)備、清洗 設(shè)備、測試機(jī)等有望部分實現(xiàn)國產(chǎn)替代。

3 高性能計算驅(qū)動半導(dǎo)體產(chǎn)業(yè)發(fā)展,先進(jìn)封裝實現(xiàn)算力提升

3.1 HPC 超越手機(jī)成為半導(dǎo)體第一大需求驅(qū)動力,大算力時代來臨

2022年Q1開始,HPC逐漸超越手機(jī)成為半導(dǎo)體第一大需求驅(qū)動力。5G手機(jī)滲透率逐漸 飽和,隨著人工智能的發(fā)展,需要處理的數(shù)據(jù)量指數(shù)級增長,AI服務(wù)器、高性能計算 等算力需求迎來爆發(fā)式增長。從2022年Q1開始,在臺積電下游應(yīng)用領(lǐng)域的營收占比中, HPC(高性能計算)首次超越智能手機(jī)躍居第一,隨后繼續(xù)保持上升態(tài)勢,而手機(jī)營 收占比逐漸下行。

072beed0-1b4e-11ee-962d-dac502259ad0.png

智能算力規(guī)??焖僭鲩L,大算力時代來臨。算力作為人工智能的要素之一,在數(shù)字經(jīng) 濟(jì)發(fā)展、產(chǎn)業(yè)智能化升級的進(jìn)程中發(fā)揮巨大作用。根據(jù)IDC預(yù)測,到2026年,我國智 能算力規(guī)模將達(dá)到1271.4EFLOPS,2022-2026年化復(fù)合增長率達(dá)47.58%。

3.2 AI 服務(wù)器產(chǎn)業(yè)鏈迎來高景氣,異構(gòu)集成與異構(gòu)計算共推算力發(fā)展

以AI服務(wù)器產(chǎn)業(yè)鏈為代表的硬件產(chǎn)品將充分受益于人工智能發(fā)展的浪潮。據(jù) TrendForce集邦咨詢預(yù)測,在AI+應(yīng)用廣泛落地的刺激下,AI服務(wù)器2023年出貨量預(yù) 計將同比增長38.4%,2022-2026年AI服務(wù)器的年化復(fù)合增長率將達(dá)22%。

AI服務(wù)器采用的是異構(gòu)計算架構(gòu)。異構(gòu)計算(Heterogeneous Computing),是指將 CPUGPU、FPGA、DSP等不同架構(gòu)的運算單元整合到一起進(jìn)行并行計算。例如,CPU擅 長管理和調(diào)度,比如讀取數(shù)據(jù),管理文件,人機(jī)交互等;GPU管理弱,運算強(qiáng),更適 合整塊數(shù)據(jù)進(jìn)行流處理的算法;FPGA實時性高,能管理能運算,但是開發(fā)周期長,復(fù) 雜算法開發(fā)難度大;DSP適合特定算法的計算等。異構(gòu)計算的實現(xiàn)架構(gòu)通常是CPU+ GPU/FPGA/DSP,主要由CPU完成不可加速部分的計算以及整個系統(tǒng)的控制調(diào)度,由 GPU/FPGA/DSP完成特定的任務(wù)和加速,具備計算能力強(qiáng)、可擴(kuò)展性好、資源利用率高、 發(fā)展?jié)摿Υ蟮葍?yōu)點。

0735cbd0-1b4e-11ee-962d-dac502259ad0.png

異構(gòu)集成通過先進(jìn)封裝工藝將多個高性能算力芯片集成在一個系統(tǒng)中,實現(xiàn)異構(gòu)計 算以提升算力。異構(gòu)集成(Heterogeneous Integration),準(zhǔn)確的全稱為異質(zhì)異構(gòu)集 成,異構(gòu)代表采用的不同工藝節(jié)點,異質(zhì)代表不同模塊使用的半導(dǎo)體材料不同。異構(gòu) 集成通過先進(jìn)封裝工藝將不同工藝節(jié)點、不同材質(zhì)的高性能芯片集成在一起,使在單 個封裝內(nèi)構(gòu)建復(fù)雜系統(tǒng)成為了可能,能夠快速達(dá)到異構(gòu)計算系統(tǒng)內(nèi)的芯片所需要的 功耗、體積、性能的要求,從而使異構(gòu)計算可以通過整合不同架構(gòu)的運算單元來進(jìn)行 并行計算,達(dá)到提升算力的目的。

在存算一體大算力領(lǐng)域,已有國內(nèi)企業(yè)走在前列。存算一體架構(gòu)將不同類型的處理器 和存儲組件等集成到同一個芯片上,是異構(gòu)集成的一種形式,目前在該領(lǐng)域已有國內(nèi) 企業(yè)取得突破。2023年5月,后摩智能正式發(fā)布國內(nèi)首款存算一體智駕芯片——鴻途 H30。該芯片僅用12nm工藝制程,其物理算力實現(xiàn)了高達(dá)256TOPS,在Int8全精度的計 算提供下,計算延時只有1.5ns,能效比為30-150 TOPS/W,比業(yè)界同等精度計算條件 下的水平提高了3倍以上。H30芯片采用的是后摩智能自研的AI處理器架構(gòu)—IPU (Intelligence Processing Unit),將面向智能駕駛、通用人工智能等領(lǐng)域。與國 際巨頭的某款智能駕駛8nm芯片相比,在Resnet50網(wǎng)絡(luò)的條件下,后摩智能H30的性能 可以達(dá)到友商的2倍以上。

3.3 Chiplet 優(yōu)勢明顯,是***“破局”路徑之一

高性能計算的應(yīng)用場景不斷拓寬,對算力芯片性能提出更高要求,進(jìn)而拉動了先進(jìn)封 裝及Chiplet工藝的需求。隨著AI大模型數(shù)據(jù)處理需求的持續(xù)提升,對算力芯片性能 提出更高要求。Chiplet是高性能算力芯片的封裝解決方案之一,其在設(shè)計、生產(chǎn)環(huán) 節(jié)均進(jìn)行了效率優(yōu)化,能有效降低成本并持續(xù)提高系統(tǒng)集成度。Chiplet需要采用先 進(jìn)封裝工藝中的異構(gòu)集成技術(shù)進(jìn)行實現(xiàn),因而Chiplet的高增長亦將帶動異構(gòu)集成的 需求提升。根據(jù)Omdia預(yù)測,隨著人工智能、高性能計算、5G等新興應(yīng)用領(lǐng)域需求滲 透,2035年全球Chiplet市場規(guī)模有望達(dá)到570億美元,2018-2035年復(fù)合年均增長率 為30.16%,發(fā)展勢頭強(qiáng)勁。

0754e484-1b4e-11ee-962d-dac502259ad0.png

與傳統(tǒng)SoC相比,Chiplet在設(shè)計靈活度、良率等方面優(yōu)勢明顯。相對單片集成技術(shù)SoC 而言,Chiplet是由不同工藝節(jié)點的模塊共同組成,在相同的系統(tǒng)性能目標(biāo)下,部分 模塊對制程的要求有所降低,節(jié)省了部分開發(fā)時間;由于芯片面積越大越容易產(chǎn)生缺 陷,而Chiplet每個模塊的載體都是較小的硅片,有效降低了生產(chǎn)中產(chǎn)生的缺陷數(shù)量;同時每個小硅片擁有單獨的IP,并且可以重復(fù)使用,根據(jù)特定客戶的獨特需求定制產(chǎn) 品,節(jié)省開發(fā)時間。不同工藝生產(chǎn)制造的Chiplet可以通過SiP技術(shù)結(jié)合,典型的方案 就是XPU+DRAM,通過異構(gòu)集成把內(nèi)存和算力單元直接整合到一起,提升系統(tǒng)性能、突 破算力瓶頸。

針對先進(jìn)制程,Chiplet更具成本優(yōu)勢。一方面小芯片形式的制造良率有所提升,另 一方面是Chiplet允許使用不同的制程制造異構(gòu)芯片,例如高性能模塊采用7nm,其他 模塊只需要14nm或28nm就可以做到性能最大化,使系統(tǒng)整體的功能密度非常接近于 7nm的集成。AMD采用“7nm+14nm”的Chiplet設(shè)計方案,較7nm的單芯片集成的成本下 降了接近一半。AMD認(rèn)為是否使用Chiplet設(shè)計思想的動機(jī),在于性能、功耗與造價能 否妥協(xié)。Chiplet對成本下降的效果會隨著核數(shù)(芯片核心的數(shù)量)的降低而邊際減 小,因此未來可能會出現(xiàn)一個價格的均衡點來判斷采用Chiplet技術(shù)是否更具有經(jīng)濟(jì) 效益。

中美科技摩擦加劇背景下國內(nèi)先進(jìn)制程發(fā)展受限,Chiplet是***“破局”路徑 之一。近年來美國以《芯片與科學(xué)法案》、貿(mào)易管制“實體清單”及與日本、荷蘭組 成芯片聯(lián)盟等手段限制我國芯片先進(jìn)制程的發(fā)展,使我國高端芯片領(lǐng)域面臨“卡脖子” 問題。Chiplet降低了芯片設(shè)計的成本與門檻,且其IP復(fù)用的特性提高了設(shè)計的靈活 性,是***“破局”路徑之一。2023年2月,北極雄芯發(fā)布了國內(nèi)首款基于異構(gòu) Chiplet集成的智能處理芯片。該芯片采用12nm工藝生產(chǎn),HUB Chiplet采用RISC-V CPU核心,可通過靈活搭載多個NPU Side Die提供8-20TOPS(INT8)稠密算力。該芯 片可用于AI推理、隱私計算、工業(yè)智能等不同場景,有效解決了下游客戶在算法適配、 迭代周期、算力利用率、算力成本等各方面難以平衡的核心痛點。

0766e468-1b4e-11ee-962d-dac502259ad0.png

4 龍頭積極布局先進(jìn)封裝,中國大陸封裝廠商蓬勃發(fā)展

4.1 晶圓廠和封測廠積極布局先進(jìn)封裝,側(cè)重點各有不同

封測廠及晶圓廠龍頭均積極布局先進(jìn)封裝。根據(jù)Yole數(shù)據(jù),2021年各行業(yè)龍頭在先進(jìn) 封裝行業(yè)的資本支出合計約為119億美元。晶圓廠陣營方面,英特爾以35億美元的資 本支出排名第一,主要用以支持Foveros和EMIB技術(shù)。臺積電、三星以30.5億美元和 15億美元的資本支出分別排名第二、第四。而封測廠陣營方面,日月光以20億美元的 資本支出排名第三,其是最大也是唯一能夠與代工廠和集成設(shè)備制造商形成競爭的 OSAT。中國大陸封測廠長電科技和通富微電在先進(jìn)封裝資本支出方面則分居第6、7名。

英特爾致力于實現(xiàn)每毫米立方體里功能最大。在英特爾的技術(shù)發(fā)展路線圖中,先進(jìn)封 裝主要關(guān)注互連密度、功率效率和可擴(kuò)展性三個方面。其中,F(xiàn)overos和混合鍵合技 術(shù)主要關(guān)注功率效率、互連密度方面,而Co-emib和ODI技術(shù)則體現(xiàn)了集成的可擴(kuò)展性 特點。從Foveros到混合鍵合技術(shù),英特爾逐漸實現(xiàn)凸點間距越來越小,使系統(tǒng)擁有 更高的電流負(fù)載能力、更好的熱性能。未來英特爾將繼續(xù)致力于實現(xiàn)每毫米立方體里 功能最大。

07834b3a-1b4e-11ee-962d-dac502259ad0.png

臺積電推出“3D Fabric”先進(jìn)封裝平臺。臺積電將2.5D和3D先進(jìn)封裝技術(shù)整合為“3D Fabric”平臺,在2.5D層面推出了CoWoS及InFO等技術(shù),在3D層面推出了3D SoIC技術(shù)。其中前段技術(shù)包含3D的整合芯片系統(tǒng)(SoIC InFO-3D),后端組裝測試相關(guān)技術(shù)包含 2D/2.5D的整合型扇出(InFO)以及2.5D的CoWoS系列。目前最新的第五代CoWoS-S封 裝技術(shù),將增加3倍的中介層面積、8個HBM2e堆棧(容量高達(dá)128GB)、全新的硅通孔 (TSV)解決方案等,有望將晶體管數(shù)量翻至第3代封裝解決方案的20倍。

日月光半導(dǎo)體推出“VIPack”先進(jìn)封裝平臺,提供垂直互連整合封裝解決方案。該平 臺由六大核心封裝技術(shù)組成,包括日月光基于高密度RDL的Fan Out Package-onPackage(FOPoP)、Fan Out Chip-on-Substrate(FOCoS)、Fan Out Chip-on-SubstrateBridge(FOCoS-Bridge)和Fan Out System-in-Package(FOSiP),以及基于硅通孔 (TSV)的2.5D/3D IC和Co-Packaged Optics。平臺具備先進(jìn)的RDL制程、嵌入式整合 以及2.5D/3D封裝等技術(shù),提供可優(yōu)化時脈速度、頻寬和電力傳輸?shù)母叨日瞎璺庋b 解決方案所需的制程能力,能縮短共同設(shè)計時間、產(chǎn)品開發(fā)和上市時程。其中FOPoP 是由日月光在2023年3月14日發(fā)布的,該技術(shù)實現(xiàn)了電氣路徑減少3倍(降低延遲性)、 帶寬密度提高8倍(提高帶寬優(yōu)勢)的提升,主要應(yīng)用于移動裝置和網(wǎng)絡(luò)通訊市場。

0797cdb2-1b4e-11ee-962d-dac502259ad0.png

晶圓廠陣營及封測廠陣營關(guān)注側(cè)重點各有不同。晶圓廠由于在前道環(huán)節(jié)的經(jīng)驗更豐 富,能更快掌握需要刻蝕等前道步驟的TSV技術(shù),因而在2.5D/3D封裝技術(shù)方面較為領(lǐng) 先,如英特爾的Foveros技術(shù)和臺積電的CoWoS技術(shù),均是高維集成的領(lǐng)先技術(shù)。而后 道封裝廠商則更熟悉異質(zhì)異構(gòu)集成且封裝技術(shù)布局全面,因此在SiP技術(shù)的發(fā)展方面 更有優(yōu)勢,比如日月光的“VIPack”平臺中就將FOSiP等系統(tǒng)級封裝技術(shù)列為核心技 術(shù)之一。在后摩爾時代,先進(jìn)封裝為封裝行業(yè)帶來核心增量,亦成為晶圓廠和封測廠 的兵家必爭之地。我們預(yù)計未來晶圓制造廠的工藝程序?qū)葑兂蓮闹圃斓椒庋b的 一體化工程,而OSAT則會呈現(xiàn)馬太效應(yīng),技術(shù)迭代能力強(qiáng)、客戶資源豐富的龍頭企業(yè) 更具優(yōu)勢,市場份額有望更加集中。

4.2 OSAT 競爭格局較為穩(wěn)定,中國大陸廠商蓬勃發(fā)展

OSAT競爭格局較為穩(wěn)定,中國大陸封測廠營收名列前茅。根據(jù)2022年海內(nèi)外已經(jīng)上市 的封測廠(OSAT,Outsourced Semiconductor Assembly and Test)營收情況,OSAT 行業(yè)整體營收排名變化不大,競爭格局較為穩(wěn)定。中國大陸封測廠中有長電科技、通 富微電、華天科技和甬矽電子進(jìn)入前三十名的榜單,其中長電科技、通富微電和華天 科技穩(wěn)居榜單前十。從毛利率和研發(fā)營收比來看,中國大陸封測廠的研發(fā)投入水平處 于國際領(lǐng)先水平,但毛利率與海外巨頭相比仍有提升空間。

中國大陸封測廠蓬勃發(fā)展,以長電科技最為突出。在芯思想發(fā)布的委外封測前十大榜 單中,中國大陸市占率占比24.55%,僅次于中國臺灣地區(qū)。在中國大陸封測廠中,長 電科技市占率占比44%,是國內(nèi)封測行業(yè)的龍頭企業(yè)。

長電科技在國內(nèi)封測廠中具有領(lǐng)先優(yōu)勢,先進(jìn)封裝技術(shù)布局全面且背靠中芯系。近年 來長電科技重點發(fā)展系統(tǒng)級、晶圓級和2.5D/3D等先進(jìn)封裝技術(shù),提供的解決方案包 括扇入型晶圓級封裝(FIWLP)、扇出型晶圓級封裝(FOWLP)、集成無源器件(IPD)、硅通孔(TSV)、包封芯片封裝(ECP)、射頻識別(RFID)等。在SiP封裝領(lǐng)域,長電科技擁 有雙面塑形、EMI電磁屏蔽、激光輔助鍵合(LAB)等先進(jìn)技術(shù),具有電氣性能更佳、 EMI屏蔽效果更好、可靠性更強(qiáng)等優(yōu)勢。此外,中芯國際為長電科技股東之一,雙方 合作緊密,且中芯國際作為國內(nèi)晶圓代工龍頭,在需要前道工藝輔助的2.5D/3D封裝 環(huán)節(jié)可以與長電科技協(xié)同合作,增強(qiáng)長電科技較其他封測廠的競爭優(yōu)勢。

07c25e92-1b4e-11ee-962d-dac502259ad0.png

通富微電聚焦算力芯片封測,與AMD深度合作。通富微電不斷加強(qiáng)自主創(chuàng)新,并在多 個先進(jìn)封裝技術(shù)領(lǐng)域積極開展國內(nèi)外專利布局,截至2022年12月31日累計國內(nèi)外專 利申請達(dá)1,383件,其中發(fā)明專利占比約70%。公司在多芯片組件、集成扇出封裝、 2.5D/3D等先進(jìn)封裝技術(shù)方面均有前瞻性布局,已能提供多樣化的Chiplet封裝解決方 案,且現(xiàn)已具備7nm、Chiplet封裝技術(shù)規(guī)模量產(chǎn)能力。此外,通富微電是AMD最大的 封裝測試供應(yīng)商,占其訂單總數(shù)的80%以上。未來隨著和AMD的深入合作,通富微電將 持續(xù)深耕算力芯片封測領(lǐng)域,分享算力產(chǎn)業(yè)鏈加速發(fā)展的紅利。

華天科技持續(xù)發(fā)力先進(jìn)封裝,推出3D-Matrix先進(jìn)封裝技術(shù)平臺。華天科技為國內(nèi)第 三大、全球第六大的封測廠,現(xiàn)已掌握了SiP、FC、TSV、Bumping、Fan-Out、WLP、 3D等集成電路先進(jìn)封裝技術(shù)。在Fan-Out領(lǐng)域,華天科技擁有完全自主知識產(chǎn)權(quán)的晶 圓級扇出型封裝解決方案-eSiFO(embedded Silicon Fan-Out),可以提供8寸,12寸 晶圓級扇出封裝的服務(wù)。此外公司實現(xiàn)了3D FO SiP 封裝工藝平臺的開發(fā),現(xiàn)已具備 由TSV、eSiFo、3D SiP構(gòu)成的最新先進(jìn)封裝技術(shù)平臺——3D Matrix。未來華天科技 將持續(xù)加強(qiáng)技術(shù)創(chuàng)新工作,推進(jìn)2.5D Interposer(RDL+Micro Bump)項目的研發(fā), 布局UHDFO、FOPLP封裝技術(shù),加大在FCBGA、汽車電子等封裝領(lǐng)域的技術(shù)拓展,提升 公司在先進(jìn)封裝領(lǐng)域的競爭力。

甬矽電子定位中高端先進(jìn)封裝,后起之秀蓬勃發(fā)展。甬矽電子于2017年11月設(shè)立,從 成立之初即聚焦集成電路封測業(yè)務(wù)中的先進(jìn)封裝領(lǐng)域,且堅持中高端先進(jìn)封裝業(yè)務(wù) 定位,車間潔凈等級、生產(chǎn)設(shè)備、產(chǎn)線布局、工藝路線、技術(shù)研發(fā)、業(yè)務(wù)團(tuán)隊、客戶 導(dǎo)入均以先進(jìn)封裝業(yè)務(wù)為導(dǎo)向。公司全部產(chǎn)品均為QFN/DFN、WB-LGA、WB-BGA、HybridBGA、FC-LGA等中高端先進(jìn)封裝形式,并在系統(tǒng)級封裝(SiP)、高密度細(xì)間距凸點倒 裝產(chǎn)品(FC類產(chǎn)品)、大尺寸/細(xì)間距扁平無引腳封裝產(chǎn)品(QFN/DFN)等先進(jìn)封裝領(lǐng) 域具有較為突出的工藝優(yōu)勢和技術(shù)先進(jìn)性。

07cfccd0-1b4e-11ee-962d-dac502259ad0.png

晶方科技聚焦傳感器封裝,持續(xù)拓展差異化競爭優(yōu)勢。晶方科技是晶圓級硅通孔(TSV) 封裝技術(shù)的領(lǐng)先者,具備8英寸、12英寸晶圓級芯片尺寸封裝技術(shù)規(guī)模量產(chǎn)封裝線, 涵蓋晶圓級到芯片級的一站式綜合封裝服務(wù)能力。公司重點聚焦以影像傳感芯片為 代表的智能傳感器市場,封裝的產(chǎn)品主要包括CIS芯片、TOF芯片、生物身份識別芯片、 MEMS芯片等,同時針對汽車電子應(yīng)用領(lǐng)域的性能提升需求,大力推進(jìn)車規(guī)STACK封裝 工藝的開發(fā)創(chuàng)新,持續(xù)提升在車規(guī)CIS領(lǐng)域的技術(shù)領(lǐng)先優(yōu)勢與業(yè)務(wù)規(guī)模。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 摩爾定律
    +關(guān)注

    關(guān)注

    4

    文章

    630

    瀏覽量

    78770
  • 晶體管
    +關(guān)注

    關(guān)注

    77

    文章

    9502

    瀏覽量

    136937
  • 芯片制程
    +關(guān)注

    關(guān)注

    0

    文章

    51

    瀏覽量

    4624
  • 先進(jìn)封裝
    +關(guān)注

    關(guān)注

    1

    文章

    338

    瀏覽量

    177

原文標(biāo)題:電子行業(yè)專題分析報告:大算力時代下先進(jìn)封裝大有可為

文章出處:【微信號:CloudBrain-TT,微信公眾號:云腦智庫】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    AI網(wǎng)絡(luò)物理層底座: 大芯片先進(jìn)封裝技術(shù)

    隨著人工智能(AI)技術(shù)的迅猛發(fā)展,我們正站在第四次工業(yè)革命的風(fēng)暴中, 這場風(fēng)暴也將席卷我們整個芯片行業(yè),特別是先進(jìn)封裝領(lǐng)域。Chiplet是實現(xiàn)單個芯片
    發(fā)表于 09-11 09:47 ?173次閱讀
    AI網(wǎng)絡(luò)物理層底座: 大<b class='flag-5'>算</b><b class='flag-5'>力</b>芯片<b class='flag-5'>先進(jìn)</b><b class='flag-5'>封裝</b>技術(shù)

    集成系統(tǒng)封裝,開始押寶!

    第二季度量產(chǎn)。這一新技術(shù)概念的披露,預(yù)示著先進(jìn)封裝技術(shù)領(lǐng)域的市場競爭格局可能迎來新的變革。 高集成封裝技術(shù)智能
    的頭像 發(fā)表于 09-04 12:18 ?293次閱讀
    高<b class='flag-5'>算</b><b class='flag-5'>力</b>集成系統(tǒng)<b class='flag-5'>封裝</b>,開始押寶!

    【書籍評測活動NO.43】 芯片 | 高性能 CPU/GPU/NPU 微架構(gòu)分析

    前言 不知不覺中,我們來到一個計算機(jī)科學(xué)飛速發(fā)展的時代,手機(jī)和計算機(jī)中各類便捷的軟件已經(jīng)融入日常生活,在此背景,硬件特別是強(qiáng)勁的芯片,對于軟件服務(wù)起到不可替代的支撐作用。芯片的
    發(fā)表于 09-02 10:09

    氫能源車加速放量,AEM制氫大有可為!

    制加氫一體站將是支撐氫能交通發(fā)展的基石,伴隨著站點普及,AEM制氫大有可為
    的頭像 發(fā)表于 08-27 09:51 ?181次閱讀
    氫能源車加速放量,AEM制氫<b class='flag-5'>大有可為</b>!

    大模型時代需求

    現(xiàn)在AI已進(jìn)入大模型時代,各企業(yè)都爭相部署大模型,但如何保證大模型的,以及相關(guān)的穩(wěn)定性和性能,是一個極為重要的問題,帶著這個極為重要的問題,我需要在此書中找到答案。
    發(fā)表于 08-20 09:04

    【書籍評測活動NO.41】大模型時代的基礎(chǔ)架構(gòu):大模型中心建設(shè)指南

    收到書籍后2個星期內(nèi)提交不少于2篇試讀報告要求300字以上圖文并茂。 4、試讀報告發(fā)表在電子發(fā)燒友論壇>>社區(qū)活動專版標(biāo)題名稱必須包含【「大模型時代的基礎(chǔ)架構(gòu)」閱讀
    發(fā)表于 08-16 18:33

    中國中心市場持續(xù)增長,智能規(guī)??焖籴绕?/a>

    7月24日,中國信息通信研究院(簡稱“中國信通院”)權(quán)威發(fā)布了《中國中心服務(wù)商分析報告(2024年)》,該報告深入剖析了中國
    的頭像 發(fā)表于 07-24 15:25 ?290次閱讀

    浪潮,國產(chǎn)先進(jìn)封裝技術(shù)取得了怎樣的成績?面臨怎樣的挑戰(zhàn)?

    電子發(fā)燒友網(wǎng)報道(文/吳子鵬)隨著摩爾定律速度放緩,近幾年先進(jìn)封裝技術(shù)成為大芯片發(fā)展的主要推動力。得益于人工智能應(yīng)用的
    的頭像 發(fā)表于 07-22 00:08 ?2858次閱讀
    大<b class='flag-5'>算</b><b class='flag-5'>力</b>浪潮<b class='flag-5'>下</b>,國產(chǎn)<b class='flag-5'>先進(jìn)</b><b class='flag-5'>封裝</b>技術(shù)取得了怎樣的成績?面臨怎樣的挑戰(zhàn)?

    力系列基礎(chǔ)篇——101:從零開始了解

    相信大家已經(jīng)感受到,我們正處在一個人工智能時代。如果要問在人工智能時代最重要的是什么?那必須是:!
    的頭像 發(fā)表于 04-24 08:05 ?1002次閱讀
    <b class='flag-5'>算</b>力系列基礎(chǔ)篇——<b class='flag-5'>算</b><b class='flag-5'>力</b>101:從零開始了解<b class='flag-5'>算</b><b class='flag-5'>力</b>

    Scale out成高性能計算更優(yōu)解,通用互聯(lián)技術(shù)大有可為

    電子發(fā)燒友網(wǎng)報道(文/吳子鵬)從聊天機(jī)器人程序ChatGPT,到文生視頻大模型Sora,AI大模型的蓬勃發(fā)展背后,為算法模型、高質(zhì)量數(shù)據(jù)、基礎(chǔ)設(shè)施帶來了持續(xù)的挑戰(zhàn)?!爱?dāng)企業(yè)通過Scale out
    的頭像 發(fā)表于 04-07 00:06 ?2710次閱讀
    Scale out成高性能計算更優(yōu)解,通用互聯(lián)技術(shù)<b class='flag-5'>大有可為</b>

    薩科微總經(jīng)理宋仕強(qiáng):華強(qiáng)北貿(mào)易商來賣國產(chǎn)品牌大有可為

    薩科微總經(jīng)理宋仕強(qiáng)說,華強(qiáng)北貿(mào)易商來賣國產(chǎn)品牌大有可為,他們本身有這些優(yōu)勢A. 熟悉華強(qiáng)北電子市場和電子信息產(chǎn)品B. 客情關(guān)系好,和終端客戶和市場客戶有深度的交流和交情,C .為客戶服務(wù)的商務(wù)方式多
    發(fā)表于 03-22 09:47

    時代, 如何打破內(nèi)存墻

    設(shè)計的不斷革新,進(jìn)入了大時代。 目前,主流AI芯片的架構(gòu)仍然沿用了傳統(tǒng)的馮·諾依曼模型,這一設(shè)計將計算單元與數(shù)據(jù)存儲分離。在這種架構(gòu),處理器需要從內(nèi)存中讀取數(shù)據(jù),執(zhí)行計算任務(wù),然
    的頭像 發(fā)表于 03-06 19:51 ?239次閱讀
    大<b class='flag-5'>算</b><b class='flag-5'>力</b><b class='flag-5'>時代</b>, 如何打破內(nèi)存墻

    大茉莉X16-P,5800M大稱王稱霸

    Rykj365
    發(fā)布于 :2024年01月25日 14:54:52

    夯實底座,順網(wǎng)科技業(yè)務(wù)全面升級

    解決行業(yè)的上網(wǎng)問題,演變?yōu)榻鉀Q行業(yè) “上好,用好AI” 的問題,真正實現(xiàn)順網(wǎng)新發(fā)展戰(zhàn)略—— “立足
    的頭像 發(fā)表于 01-22 09:20 ?326次閱讀
    夯實<b class='flag-5'>算</b><b class='flag-5'>力</b>底座,順網(wǎng)科技<b class='flag-5'>算</b><b class='flag-5'>力</b>業(yè)務(wù)全面升級

    希捷:構(gòu)建數(shù)智未來,存儲大有可為

    供的覆蓋邊緣、云端等一系列存儲解決方案以及前沿技術(shù),并且開啟了以“構(gòu)建數(shù)智未來,存儲大有可為”為主題的發(fā)布。希捷亮相第十九屆中國國際社會公共安全博覽會希捷CPSE展臺:構(gòu)建數(shù)智未來,存儲大有可為
    的頭像 發(fā)表于 10-26 09:42 ?526次閱讀
    希捷:構(gòu)建數(shù)智未來,存儲<b class='flag-5'>大有可為</b>