0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

超高數(shù)據(jù)流通量FPGA新品類中的Block RAM級聯(lián)架構(gòu)

jf_pJlTbmA9 ? 來源:jf_pJlTbmA9 ? 作者:jf_pJlTbmA9 ? 2023-07-13 17:24 ? 次閱讀

概述

隨著數(shù)據(jù)中心人工智能、自動駕駛5G、計算存儲和先進測試等應(yīng)用的數(shù)據(jù)量和數(shù)據(jù)流量不斷增大,不僅需要引入高性能、高密度FPGA來發(fā)揮其并行計算和可編程硬件加速功能,而且還對大量數(shù)據(jù)在FPGA芯片內(nèi)外流動提出了更高的要求。于是,在FPGA芯片中集成包括片上二維網(wǎng)絡(luò)(2D NoC)和各種最新高速接口的新品類FPGA芯片應(yīng)運而生,成為FPGA產(chǎn)業(yè)和相關(guān)應(yīng)用的新熱點。

拉開這場FPGA芯片創(chuàng)新大幕的是全球最大的獨立FPGA技術(shù)和產(chǎn)品提供商Achronix半導(dǎo)體公司,其采用7nm工藝打造的Achronix Speedster7t FPGA不僅擁有諸多高性能外圍Hard IP,而且是全球首次在FPGA的邏輯陣列上集成了2D NoC,一經(jīng)推出就在市場得到了積極的響應(yīng),并引來競爭對手的模仿和跟隨。

Speedster7t這款專門針對人工智能/機器學(xué)習(xí)AI / ML)和高帶寬應(yīng)用進行優(yōu)化的高性能、高密度FPGA,包括了革命性的二維片上網(wǎng)絡(luò)(2D NoC)、新型機器學(xué)習(xí)處理器(MLP)、400G以太網(wǎng)和PCIe Gen5端口,以及高帶寬GDDR6和DDR4/5存儲控制器。Speedster7t FPGA架構(gòu)如圖1所示。

1657089879405201.png

圖1 Speedster7t FPGA結(jié)構(gòu)圖

Achronix Speedster7t FPGA除了在外圍Hard IP上都采用目前業(yè)內(nèi)領(lǐng)先的大帶寬高速率IP,在內(nèi)部的可編程邏輯的架構(gòu)中也做了大量的優(yōu)化去進一步提高內(nèi)部可編程邏輯的性能,從而適配外圍IP超高帶寬需求。本文首先談?wù)凷peedster7t FPGA的片上SRAM,也就是Block RAM針對傳統(tǒng)的結(jié)構(gòu)所做出的一些優(yōu)化。

Speedster7t FPGA中可編程邏輯的架構(gòu)

Speedster7t FPGA中內(nèi)部的可編程資源是按列排布,包括LUT、FF、ALU、MUX、MLP、Block RAM、Logic RAM。如圖2所示。

1657089875958025.png

圖2 Speedster7t FPGA可編程邏輯結(jié)構(gòu)

其中MLP、Block RAM、Logic RAM是集成在一起,他們之間的連接用的是專有的走線,不占用可編程邏輯走線資源,這樣做的目的主要是為了提高性能,同時也可以節(jié)省可編程邏輯走線資源,這個架構(gòu)對于AI,還有需要用到MLP的一些復(fù)雜算法的性能優(yōu)化是非常明顯的,在我們的MLP系列文章中會詳細(xì)講到,這里我們只重點說一下Block RAM。

Speedster7t FPGA的Block RAM特點

Speedster7t FPGA內(nèi)部的Block RAM是一個容量為72k bit的簡單雙端口RAM,有一個讀端口,一個寫端口。兩個端口的時鐘完全獨立,并且可以完全獨立的配置讀寫位寬。它可以靈活的配置成簡單雙端口RAM或者ROM

Block RAM的主要特性如表1所示。

表1 Block RAM的關(guān)鍵特性

1657089871438834.png

Block RAM框圖如圖3所示。

1657089865325548.png

圖3 Block RAM內(nèi)部結(jié)構(gòu)

Speedster7t FPGA的Block RAM級聯(lián)結(jié)構(gòu)

Speedster7t FPGA的Block RAM最大的特點是增加了Block RAM間的級聯(lián)走線,級聯(lián)走線是BRAM間專有的連線,不占用可編程邏輯的走線資源,可以極大的提升多個Block RAM級聯(lián)的性能。圖4顯示了Block RAM間級聯(lián)走線的架構(gòu)。

1657089856243927.png

圖4 Block RAM級聯(lián)結(jié)構(gòu)

由圖4可以看出,讀寫地址線和數(shù)據(jù)線都有專有的級聯(lián)線連接。這樣的架構(gòu)在一些場景中都會有應(yīng)用,比如:需要從外部端口接收數(shù)據(jù)或者從GDDR6讀數(shù)據(jù)去初始化大量Block RAM的場景,AI的神經(jīng)網(wǎng)絡(luò)就是一個典型的應(yīng)用,在每一層的卷積算法中,系統(tǒng)都會從GDDR6讀出圖像數(shù)據(jù)和權(quán)重數(shù)據(jù)放入每個引擎的Block RAM中,引擎計算完畢以后再存入到GDDR6中供下一次運算使用。

有了這樣的級聯(lián)架構(gòu),我們在寫入數(shù)據(jù)去初始化大量Block RAM的時候不需要外部數(shù)據(jù)有很大的扇出,直接通過同一列Block RAM的級聯(lián)線就可以輕松完成,具體實現(xiàn)可以參考Achronix MLP_Conv2D參考設(shè)計。另外一個例子就是在需要多個Block RAM去構(gòu)成更大容量的RAM的時候,如果利用級聯(lián)線可以大大提升系統(tǒng)的性能。我們針對這個專門做了一個工程比較一下,生成一個位寬64bit,深度16384的一個簡單雙端口RAM,需要用到16個Block RAM。我們分別用專有級聯(lián)線和內(nèi)部可編程邏輯去拼深度兩種方法來對比??梢钥吹接脤S械募壜?lián)線資源更省,而且性能有了很大的提高。

使用專有的級聯(lián)線資源占用和性能:

1657089847479587.jpg

使用可編程邏輯資源占用和性能:

1657089840385167.jpg

后面我們會繼續(xù)深入了解Speedster7t FPGA可編程邏輯的各種特性,并且會用一些例子來說明如何更高效的利用這些特性,以將Speedster7t這款業(yè)界首創(chuàng)的高數(shù)據(jù)帶寬FPGA芯片與更多的創(chuàng)新智能化應(yīng)用結(jié)合起來。

此外,Achronix也提供Speedcore嵌入式FPGA硅知識產(chǎn)權(quán)(IP)產(chǎn)品,用來幫助用戶在應(yīng)用規(guī)模進一步擴大后,去開發(fā)帶有eFPGA邏輯陣列的ASIC或者SoC產(chǎn)品,它們由Achronix的ACE FPGA開發(fā)工具提供支持,從而可以重用FPGA開發(fā)成果,這是Achronix在率先引入2D NoC和MLP之外,另一個層面上的創(chuàng)新。

責(zé)任編輯:彭菁

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598900
  • 嵌入式
    +關(guān)注

    關(guān)注

    5045

    文章

    18817

    瀏覽量

    298489
  • 數(shù)據(jù)
    +關(guān)注

    關(guān)注

    8

    文章

    6715

    瀏覽量

    88308
收藏 人收藏

    評論

    相關(guān)推薦

    FPGABlock RAM級聯(lián)架構(gòu)給AI/ML帶來超高數(shù)據(jù)流通量

    隨著數(shù)據(jù)中心、人工智能、自動駕駛、5G、計算存儲和先進測試等應(yīng)用的數(shù)據(jù)量和數(shù)據(jù)流量不斷增大,不僅需要引入高性能、高密度FPGA來發(fā)揮其并行計算和可編程硬件加速功能,而且還對大量
    發(fā)表于 07-06 15:48 ?929次閱讀
    <b class='flag-5'>FPGA</b>的<b class='flag-5'>Block</b> <b class='flag-5'>RAM</b><b class='flag-5'>級聯(lián)</b><b class='flag-5'>架構(gòu)</b>給AI/ML帶來<b class='flag-5'>超高</b><b class='flag-5'>數(shù)據(jù)流通量</b>

    FPGARAM的分布和特性

    在選擇FPGA時,關(guān)注LUT(Look-Up Table)和BRAM(Block RAM)是非常重要的,因為它們是FPGA架構(gòu)
    的頭像 發(fā)表于 11-21 15:03 ?1659次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>中</b>塊<b class='flag-5'>RAM</b>的分布和特性

    ?Achronix定制單元塊大幅提升Speedcore eFPGA性能

    【導(dǎo)讀】為了滿足人工智能、機器學(xué)習(xí)、無人駕駛、ADAS等應(yīng)用提出的越來越高的特殊計算需求,Achronix宣布為其eFPGA IP解決方案推出Speedcore定制單元塊,這是一種可以將功耗和面積降至最低、同時將數(shù)據(jù)流通量最大化的解決方案。
    發(fā)表于 10-19 11:28 ?1053次閱讀

    請問9K Block RAM設(shè)計那些2 9K Block RAM在哪里?

    嗨,我想為我的設(shè)計使用比特流加密,我遇到了一個關(guān)于9K Block RAM的問題,在答案記錄39999描述了r然后我決定在我的設(shè)計刪除所有9k
    發(fā)表于 06-06 07:23

    RAM數(shù)據(jù)流不起作用

    的6個原型運行良好。當(dāng)我連接到FPGA上的參考電壓IO時,其中2個變?yōu)椴煌碾娮柚?,因?b class='flag-5'>RAM數(shù)據(jù)流不起作用。我手動手動測試參考電壓引腳以排除出售的問題。在我的工作板上,我在參考電壓
    發(fā)表于 06-20 15:21

    FPGA 內(nèi)部詳細(xì)架構(gòu) 精選資料分享

    互連線資源(Interconnect)4.嵌入式塊 RAM(BRAM)(Block RAM)5.底層內(nèi)嵌功能單元6.內(nèi)嵌專用硬核7.致謝FPGA 芯片整體
    發(fā)表于 07-30 08:10

    基于FPGA芯片的數(shù)據(jù)流結(jié)構(gòu)分析

    Virtex 型FPGA 芯片是Xilinx 公司芯片系列的一種,Virtex 系列的數(shù)據(jù)流及配置邏輯與XC4000 的數(shù)據(jù)流及配置邏輯有顯著不同,但卻與Xilinx 的
    發(fā)表于 11-18 11:37 ?2223次閱讀

    如何用FPGABlock RAM性能實現(xiàn)HDTV視頻增強算法灰度直方圖統(tǒng)計

    本文介紹了如何在FPGA 利用Block RAM 的特殊結(jié)構(gòu)實現(xiàn)HDTV視頻增強算法灰度直方圖統(tǒng)計。
    的頭像 發(fā)表于 07-10 08:10 ?2758次閱讀

    如何使用Block RAM及利用其功能和性能優(yōu)勢

    了解新的Block RAM級聯(lián)功能,如何使用它,以及如何利用其功能和性能優(yōu)勢。
    的頭像 發(fā)表于 11-23 06:56 ?4681次閱讀

    xilinx 7系列FPGA里面的Block RAM

    些大材小用,因此xilinx公司在其FPGA內(nèi)部專門集成了很多存儲器模塊,稱作Block RAM,其猶如slice海洋當(dāng)中的一顆顆明珠,專門實現(xiàn)數(shù)據(jù)暫存功能,且每個時鐘區(qū)域都布置了若干
    的頭像 發(fā)表于 11-23 14:08 ?7800次閱讀
    xilinx 7系列<b class='flag-5'>FPGA</b>里面的<b class='flag-5'>Block</b> <b class='flag-5'>RAM</b>

    FPGARAM存儲資源詳細(xì)資料說明

    本文檔的主要內(nèi)容詳細(xì)介紹的是FPGARAM存儲資源詳細(xì)資料說明包括了:1、 FPGA存儲資源簡介,2、 不同廠家的 Block RAM
    發(fā)表于 12-09 15:31 ?10次下載
    <b class='flag-5'>FPGA</b>的<b class='flag-5'>RAM</b>存儲資源詳細(xì)資料說明

    FPGAblock ram的特殊用法列舉

    FPGAblock ram是很常見的硬核資源,合理的利用這些硬件資源一定程度上可以優(yōu)化整個設(shè)計,節(jié)約資源利用率,充分開發(fā)FPGA芯片中的
    的頭像 發(fā)表于 12-24 14:28 ?1125次閱讀

    使用FPGA調(diào)用RAM資源的詳細(xì)說明

    RAM),其中BRAM是block ram,是存在FPGA的大容量的RAM,DRAM是
    發(fā)表于 12-30 16:27 ?9次下載

    FPGA在一個時鐘周期可以讀取多個RAM數(shù)據(jù)嗎?

    設(shè)計都涉及到對RAM的讀寫操作。在FPGA芯片中,RAM也叫做存儲塊(Block RAM),可以存儲大量的
    的頭像 發(fā)表于 10-18 15:28 ?1055次閱讀

    可信數(shù)據(jù)流通網(wǎng)絡(luò)(TDN)白皮書

    二是明晰 TDN 的可信數(shù)據(jù)流通關(guān)鍵技術(shù)范疇。從保障數(shù)據(jù)隱私安全、流通過程可控可信、跨節(jié)點跨平臺跨技術(shù)互聯(lián)互通等角度歸納、分析實現(xiàn)數(shù)據(jù)可信流通
    的頭像 發(fā)表于 11-06 16:50 ?1171次閱讀
    可信<b class='flag-5'>數(shù)據(jù)流通</b>網(wǎng)絡(luò)(TDN)白皮書