0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

RQS設(shè)計(jì)收斂建議ID RQS_CLOCK-12

jf_pJlTbmA9 ? 來源:jf_pJlTbmA9 ? 作者:jf_pJlTbmA9 ? 2023-07-12 15:44 ? 次閱讀

在先前博文在 Vivado 中利用 report_qor_suggestions 提升 QoR和利用 RQA 和 RQS 實(shí)現(xiàn)設(shè)計(jì)收斂中,我們了解了“Report QOR Suggestions (RQS)”(QoR 建議報(bào)告)如何借助時(shí)鐘設(shè)置、使用率、擁塞和時(shí)序建議來幫助達(dá)成設(shè)計(jì)收斂。

在本篇博文中,我們來聊聊“RQS_CLOCK-12”時(shí)鐘設(shè)置建議以及它如何幫助達(dá)成時(shí)序收斂。

要求:

掌握如何生成和應(yīng)用report_qor_suggestions

基本掌握 CLOCK_LOW_FANOUT 約束。

RQS_CLOCK-12:

RQS_CLOCK-12 建議屬于專為 UltraScale 和 UltraScale+ 器件生成的自動增量式友好建議。

它使用“CLOCK_LOW_FANOUT”屬性,并將該屬性分配給時(shí)鐘信號線或者一組寄存器,由全局時(shí)鐘緩沖器根據(jù)其負(fù)載數(shù)目來驅(qū)動。

1. 將該屬性應(yīng)用于時(shí)鐘信號線時(shí),全局時(shí)鐘緩沖器的負(fù)載將被約束并放置到單個(gè)時(shí)鐘區(qū)域內(nèi)。

2. 將該屬性應(yīng)用于一組寄存器時(shí),在 opt_design 期間創(chuàng)建的現(xiàn)有全局時(shí)鐘緩沖器的基礎(chǔ)上,還會并行復(fù)制一個(gè)全新的全局時(shí)鐘緩沖器。新全局時(shí)鐘緩沖器的負(fù)載僅適用于該屬性應(yīng)用到的各組寄存器,并約束到單個(gè)時(shí)鐘區(qū)域。

現(xiàn)在我們來看看 RQS_CLOCK-12 建議如何應(yīng)用 CLOCK_LOW_FANOUT 來降低時(shí)鐘偏差,進(jìn)而幫助設(shè)計(jì)達(dá)成時(shí)序收斂。

假設(shè)已布線的設(shè)計(jì)中存在如下兩個(gè)場景,其中存在錯(cuò)誤的時(shí)鐘偏差,導(dǎo)致從寄存器到全局緩沖器的控制管腳 (CE/CLR) 的路徑上存在時(shí)序違例。

場景 1:

100571790-306373-shixushoulian1.jpg

在這條時(shí)序收斂失敗的路徑中,時(shí)鐘緩沖器 BUFGCE1 (clockout3_buf)、寄存器及其驅(qū)動程序 BUFGCE2 (bufce_i) 全都布局在同一個(gè)時(shí)鐘區(qū)域內(nèi)。驅(qū)動寄存器的 BUFGCE1 存在高扇出 (6419),且負(fù)載導(dǎo)致其時(shí)鐘信號線遍布整個(gè)器件,如圖高亮所示。

該工具所選的 CLOCK_ROOT 位置遠(yuǎn)離驅(qū)動它的全局時(shí)鐘緩沖器,導(dǎo)致時(shí)鐘信號線延遲過高且時(shí)鐘偏差過高。

場景 1 的解決辦法:

對寄存器應(yīng)用 CLOCK_LOW_FANOUT,這樣即可復(fù)制 opt_design 期間創(chuàng)建的原始 BUFGCE1 以創(chuàng)建新的 BUFGCE (clkout3_buf_replica),且僅將其用于驅(qū)動此關(guān)鍵寄存器。這樣將把信號線約束到單個(gè)時(shí)鐘區(qū)域內(nèi),從而減少時(shí)鐘信號線延遲。

并且,由于時(shí)鐘源和負(fù)載都位于相同時(shí)鐘區(qū)域內(nèi),CLOCK_LOW_FANOUT 會強(qiáng)制將 clock root(時(shí)鐘根)包含在相同時(shí)鐘區(qū)域內(nèi),從而幫助降低時(shí)鐘偏差。

對關(guān)鍵寄存器應(yīng)用 CLOCK_LOW_FANOUT 后的板級原理圖:

100571790-306374-shixushoulian2.jpg

在 opt_design 的 BUFG 最優(yōu)化階段,在為 CLOCK_LOW_FANOUT 屬性創(chuàng)建的全局時(shí)鐘緩沖器上應(yīng)該會顯示一條消息。

例如:
INFO: [Opt 31-1077] Phase BUFG optimization inserted 1 global clock buffer(s) for CLOCK_LOW_FANOUT.
語法:
set_property CLOCK_LOW_FANOUT TRUE [get_cells ]

場景 2:

100571790-306375-shixushoulian3.jpg

在這條時(shí)序收斂失敗的路徑中,時(shí)鐘緩沖器 BUFGCE1 (clkout1_BUFG_inst)、寄存器及其驅(qū)動程序 BUFGCE2 同樣全都布局在同一個(gè)時(shí)鐘區(qū)域內(nèi)。BUFGCE1 驅(qū)動寄存器的扇出較低 (16),但負(fù)載分布于多個(gè)時(shí)鐘區(qū)域(以紅色標(biāo)記)。由此導(dǎo)致該工具所選的 CLOCK_ROOT 不同于驅(qū)動它的全局時(shí)鐘緩沖器,進(jìn)而導(dǎo)致時(shí)鐘信號線延遲過高且時(shí)鐘偏差過高。

場景 2 的解決辦法:

當(dāng) BUFGCE1 扇出較低 (

現(xiàn)在,時(shí)鐘源和負(fù)載都位于相同時(shí)鐘區(qū)域內(nèi),因此 CLOCK_LOW_FANOUT 會強(qiáng)制將 clock root 包含在相同時(shí)鐘區(qū)域內(nèi),從而幫助降低時(shí)鐘偏差。

對時(shí)鐘信號線應(yīng)用 CLOCK_LOW_FANOUT 后的板級原理圖:

100571790-306376-shixushoulian4.jpg

語法:
set_property CLOCK_LOW_FANOUT TRUE [get_nets ]

總結(jié)

在本篇博文中,我們學(xué)習(xí)了 2 個(gè)設(shè)計(jì)示例,其中演示了如何生成 RQS_CLOCK-12 建議以將 CLOCK_LOW_FANOUT 屬性應(yīng)用于由全局時(shí)鐘緩沖器直接驅(qū)動的寄存器或時(shí)鐘信號線。

審核編輯:湯梓紅
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5250

    瀏覽量

    119200
  • 緩沖器
    +關(guān)注

    關(guān)注

    6

    文章

    1903

    瀏覽量

    45324
  • 時(shí)序
    +關(guān)注

    關(guān)注

    5

    文章

    370

    瀏覽量

    37186
收藏 人收藏

    評論

    相關(guān)推薦

    如何在實(shí)現(xiàn)流程中將RQA與RQS結(jié)合使用的設(shè)計(jì)示例

    通過之前的博文,我們已經(jīng)學(xué)會了如何使用 Report QoR Assessment (RQA) 和 Report QoR Suggestions (RQS) 來改進(jìn)總體設(shè)計(jì)分析以及設(shè)計(jì)的時(shí)序收斂
    的頭像 發(fā)表于 09-29 11:11 ?3857次閱讀
    如何在實(shí)現(xiàn)流程中將RQA與<b class='flag-5'>RQS</b>結(jié)合使用的設(shè)計(jì)示例

    UltraFast設(shè)計(jì)方法時(shí)序收斂快捷參考指南

    《UltraFast 設(shè)計(jì)方法時(shí)序收斂快捷參考指南》提供了以下分步驟流程, 用于根據(jù)《UltraFast設(shè)計(jì)方法指南》( UG949 )中的建議快速完成時(shí)序收斂: 1初始設(shè)計(jì)檢查:在實(shí)現(xiàn)設(shè)計(jì)前審核
    的頭像 發(fā)表于 11-05 15:10 ?4887次閱讀
    UltraFast設(shè)計(jì)方法時(shí)序<b class='flag-5'>收斂</b>快捷參考指南

    EM模型仿真中的收斂誤差

    收斂誤差文檔。我嘗試應(yīng)用文檔中建議的技術(shù),例如更改OSR,使用TAHB,自動(首選)收斂模式,高級(穩(wěn)健)收斂模式,將迭代更改為強(qiáng)大和自定義1000,直接求解器,Krylov求解器,
    發(fā)表于 10-12 17:16

    單點(diǎn)無法收斂后繼續(xù)HB掃描

    是否有辦法強(qiáng)制諧波平衡模擬器在收斂失敗后進(jìn)入下一個(gè)掃描點(diǎn)?在任何收斂錯(cuò)誤之后,模擬當(dāng)前停止。我正在使用帶參數(shù)掃描塊的TAHB。這應(yīng)該導(dǎo)致每個(gè)掃掠點(diǎn)被單獨(dú)計(jì)算。當(dāng)一點(diǎn)失敗時(shí),我看不出為什么模擬器無法
    發(fā)表于 05-21 12:48

    如何進(jìn)行時(shí)序收斂,即如何確保生成的內(nèi)核工作在2.5GHz

    ser-des核心工作在2.5 GHz。我使用了一個(gè)簡單的包裝器,它有clock,reset,tx&amp; amp; rx串行信號用于環(huán)回,Tx并行數(shù)據(jù)輸入和Rx并行數(shù)據(jù)輸出。我該如何設(shè)置約束?請建議如何進(jìn)行時(shí)序收斂,即如何確
    發(fā)表于 06-03 11:24

    美國banner邦納Q60VR3AF2000

    QS18VN6LAFQS18VP6LAFQ45UBB63DAQSM312CVBQDPQS18VP6LDQ8QS30EVDQ45BB6LPSM312WQDT30UINAQS18VN6AF100QS18VN6DQS18VP6DS18SN6LS18SP6DLQ60VR3AF2000Q45UBB63BCQ45ULIU64BCRQS186EQS18VP6RQS186
    發(fā)表于 05-24 15:54

    HarmonyOS實(shí)戰(zhàn)——Clock組件基本使用

    的ohos:time_zone="GMT"是默認(rèn)值24小時(shí)的格式 12小時(shí)的格式,Clock 組件默認(rèn)是24小時(shí)制的,所以得把默認(rèn)24小時(shí)制的關(guān)掉,用下面的12小時(shí)展示a 表示
    發(fā)表于 09-06 15:52

    MCU ID的相關(guān)資料推薦

    文章目錄讀取 MCU ID,然后通過串口發(fā)送原理圖示例參考讀取 MCU ID,然后通過串口發(fā)送原理圖示例main.c 文件:#include #ifndef SYSTEM_CLOCK
    發(fā)表于 02-09 07:43

    Report QoR Suggestions助力解決Vivado設(shè)計(jì)問題

    Report QoR Suggestions (RQS) 可識別設(shè)計(jì)問題,并提供工具開關(guān)和可影響工具行為的設(shè)計(jì)單元屬性的解決方案,即便在無法自動執(zhí)行解決方案的情況下也可提供文本修改建議。
    的頭像 發(fā)表于 01-24 17:27 ?2833次閱讀
    Report QoR Suggestions助力解決Vivado設(shè)計(jì)問題

    AD9517-3: 12-Output Clock Generator with Integrated 2.0 GHz VCO Data Sheet

    AD9517-3: 12-Output Clock Generator with Integrated 2.0 GHz VCO Data Sheet
    發(fā)表于 01-28 09:28 ?0次下載
    AD9517-3: <b class='flag-5'>12</b>-Output <b class='flag-5'>Clock</b> Generator with Integrated 2.0 GHz VCO Data Sheet

    單片機(jī) STC11L02E-35I - 讀取 MCU ID,然后通過串口發(fā)送

    ;#ifndef SYSTEM_CLOCK#define SYSTEM_CLOCK (1000000 * 12) // 12MHz#endiftypedef enum { FAL
    發(fā)表于 12-05 19:36 ?9次下載
    單片機(jī) STC11L02E-35I - 讀取 MCU <b class='flag-5'>ID</b>,然后通過串口發(fā)送

    在Vivado中利用Report QoR Suggestions提升QoR

    Report QoR Suggestions (RQS) 可識別設(shè)計(jì)問題,并提供工具開關(guān)和可影響工具行為的設(shè)計(jì)單元屬性的解決方案,即便在無法自動執(zhí)行解決方案的情況下也可提供文本修改建議。
    的頭像 發(fā)表于 07-19 10:38 ?1059次閱讀
    在Vivado中利用Report QoR Suggestions提升QoR

    RQS_CLOCK-12時(shí)鐘設(shè)置建議

    在本篇博文中,我們來聊聊“RQS_CLOCK-12”時(shí)鐘設(shè)置建議以及它如何幫助達(dá)成時(shí)序收斂
    的頭像 發(fā)表于 07-26 09:53 ?632次閱讀
    <b class='flag-5'>RQS_CLOCK-12</b>時(shí)鐘設(shè)置<b class='flag-5'>建議</b>

    Linux clock子系統(tǒng)是什么

    clock子系統(tǒng) Linux的時(shí)鐘子系統(tǒng)由CCF(common clock framework)框架管理, CCF向上給用戶提供了通用的時(shí)鐘接口,向下給驅(qū)動開發(fā)者提供硬件操作的接口 。各結(jié)構(gòu)體關(guān)系
    的頭像 發(fā)表于 09-27 14:25 ?658次閱讀
    Linux <b class='flag-5'>clock</b>子系統(tǒng)是什么

    Realtek 有效利用 Cadence Tempus Timing Solution 成功完成 12 納米設(shè)計(jì)的硅片交付

    優(yōu)勢 ? 與以前的方法相比,生產(chǎn)力提高了 2 倍之多 ? 設(shè)計(jì)收斂周轉(zhuǎn)時(shí)間縮短 50%,計(jì)算和內(nèi)存總成本降低 50%? 中國上海,2023 年 11 月 6 日——楷登電子( 美國 Cadence
    的頭像 發(fā)表于 11-06 10:10 ?348次閱讀