0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Vivado設(shè)計套件助力快速編譯設(shè)計并達(dá)到性能目標(biāo)

Xilinx賽靈思官微 ? 來源:AMD ? 作者:AMD ? 2023-07-12 08:15 ? 次閱讀

SuhelDhanani

AMD 自適應(yīng) SoC 與 FPGA 事業(yè)部軟件營銷總監(jiān)

在設(shè)計規(guī)模和復(fù)雜性不斷增長的世界里,SoC 和 FPGA 設(shè)計需要以更低功耗提供更高性能的情況將繼續(xù)在行業(yè)中存在。在 AMD,我們深知,保持領(lǐng)先意味著需要找到更為有效的方法,以此優(yōu)化設(shè)計來實現(xiàn)最高性能。

AMD Vivado 設(shè)計套件是業(yè)界領(lǐng)先的由機(jī)器學(xué)習(xí)提供支持的電子設(shè)計自動化工具。這一高性能開發(fā)環(huán)境可為硬件開發(fā)人員及系統(tǒng)架構(gòu)師提供系統(tǒng)設(shè)計、集成和實現(xiàn)的巨大優(yōu)勢,不僅可優(yōu)化設(shè)計周期,而且還能帶來更好的結(jié)果。

事實上,最新版本 Vivado 設(shè)計套件 2023.1 將 Versal 自適應(yīng) SoC的結(jié)果質(zhì)量( QoR )平均提高了 8%(注釋1),將 UltraScale+ 自適應(yīng) SoC 和 FPGA 的結(jié)果質(zhì)量平均提高 13%(注釋2)。很高興今天能進(jìn)一步分享這款智能設(shè)計工具。

快速編譯,提高生產(chǎn)力

更快的編譯對于硬件開發(fā)人員高效處理復(fù)雜設(shè)計至關(guān)重要。為了加速流程的各個環(huán)節(jié),Vivado 設(shè)計套件為綜合、布局、布線、物理優(yōu)化和設(shè)計收斂提供了卓越的編譯速度。

特別是,我們還開發(fā)了一個可縮短編譯時間并減少內(nèi)存開銷的獨特功能:Abstract Shell(抽象外殼)。Abstract Shell 能圍繞可重新配置分區(qū)創(chuàng)建僅限于最小接口的靜態(tài)設(shè)計檢查點。由于存在檢查點,因此每次迭代只編譯一小部分設(shè)計即可。換言之,AbstractShell 無需對整個設(shè)計進(jìn)行重新編譯,其具有巨大的編譯時間優(yōu)勢。

除此之外,借助 AbstractShell,無論團(tuán)隊身處何處,都可同時進(jìn)行一項設(shè)計。該功能可在無需共享專有數(shù)據(jù)的情況下向多個用戶提供應(yīng)用場景,從而實現(xiàn)協(xié)作設(shè)計環(huán)境。這可為復(fù)雜設(shè)計帶來高生產(chǎn)率、快速優(yōu)化以及強(qiáng)大的安全功能。

以更少迭代帶來優(yōu)異的結(jié)果

隨著復(fù)雜性的提升,出現(xiàn)與性能相關(guān)的挑戰(zhàn)的可能性就越大。當(dāng)今的硬件開發(fā)人員需要高級工具來高效解決問題并實現(xiàn)性能目標(biāo)。

我可以很自豪地說,Vivado 設(shè)計套件是一款使用獨特機(jī)器學(xué)習(xí)算法實現(xiàn)智能設(shè)計運行的設(shè)計軟件。這一功能可幫助開發(fā)人員以更少的設(shè)計迭代實現(xiàn)更高的 QoR。

智能設(shè)計運行分為三個階段:設(shè)計優(yōu)化、工具選項探索以及“最后一英里”時序收斂。簡單來說,該功能可通過自動計算設(shè)計分?jǐn)?shù)(達(dá)到時序收斂的可能性)并分析影響性能的問題來節(jié)省時間,減少工作量。智能設(shè)計運行基于超過 10 萬組訓(xùn)練數(shù)據(jù)從 60 多種專有定制策略中提取,可生成流程和方法指南以及基于機(jī)器學(xué)習(xí)的建議,逐步完成各個階段,直至達(dá)到性能目標(biāo)。

Versal 器件的精確功耗估算

在設(shè)計周期早期,硬件開發(fā)人員需要精確估算功耗,以免浪費工作,并朝著滿足系統(tǒng)需求的道路邁進(jìn)。

回到 Vivado 設(shè)計套件 2022.2,我們推出了新一代功耗估算工具:電源設(shè)計管理器(PowerDesignManager)。該工具針對穩(wěn)定性和準(zhǔn)確性精心構(gòu)建,特別適合帶硬 IP 塊的大型器件。

電源設(shè)計管理器針對 Versal 器件的關(guān)鍵硬化 IP 提供了易于使用的界面和增強(qiáng)向?qū)А?strong>此外,該工具還采用最新表征模型確保目標(biāo)器件的功耗估算準(zhǔn)確性,其可通過改善與熱能及供電有關(guān)的約束幫助平臺面向未來做好準(zhǔn)備。

借助 Vivado 設(shè)計套件 2023.1,我們將電源設(shè)計管理器支持?jǐn)U展至更多器件。這些器件目前包括 Versal HBM 系列,該系列集成了快速內(nèi)存、連接安全功能以及自適應(yīng)計算,有助于為內(nèi)存受限的計算密集型工作負(fù)載(如機(jī)器學(xué)習(xí)、數(shù)據(jù)庫加速以及下一代防火墻等)消除處理及瓶頸問題。

下載最新 Vivado 設(shè)計套件

現(xiàn)在,硬件開發(fā)人員和系統(tǒng)架構(gòu)師比以往任何時候都更需要高級設(shè)計工具來滿足大型、復(fù)雜的自適應(yīng) SoC 及 FPGA 設(shè)計的功耗及性能需求。

利用 AMD 自適應(yīng)器件以及機(jī)器學(xué)習(xí)提供支持的 Vivado 設(shè)計套件,開發(fā)人員可以從一開始就通過縮短增量編譯時間、減少設(shè)計迭代次數(shù)和精確的功耗估算來優(yōu)化獲得高質(zhì)量結(jié)果的途徑。

歡迎進(jìn)一步了解最新版本的新增功能,并下載 Vivado 設(shè)計套件。

注釋(上下滑動查看)

1.在 2023 年 3 月 26 日之前,AMD Vivado 工程團(tuán)隊對使用 AMD Vivado ML 軟件工具 2023.1 版運行智能設(shè)計運行 (IDR) 模式和運行默認(rèn)模式的 45 個客戶的 AMD Versal 設(shè)計進(jìn)行了測試。結(jié)果反映了單次測量運行的所有設(shè)計以及平均差異和計算差異。實際結(jié)果會因具體設(shè)計、系統(tǒng)配置和軟件版本等因素而異。VIV-003

2.在 2023 年 4 月 14 日之前,AMD Vivado 工程團(tuán)隊針對使用 AMD Vivado ML 軟件工具 2023.1 版運行智能設(shè)計運行 (IDR) 模式和運行默認(rèn)模式的 50 個客戶的 AMD Virtex UltraScale+ 設(shè)計進(jìn)行了測試。結(jié)果反映了單次測量運行的所有設(shè)計以及平均差異和計算差異。實際結(jié)果會因具體設(shè)計、系統(tǒng)配置和軟件版本等因素而異。VIV-004

2023 年超威半導(dǎo)體公司版權(quán)所有。保留所有權(quán)利。AMD、AMD 箭頭標(biāo)識、UltraScale+、Vivado、Versal、Virtex 及其組合均是超威半導(dǎo)體公司的商標(biāo)。


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21509

    瀏覽量

    598867
  • amd
    amd
    +關(guān)注

    關(guān)注

    25

    文章

    5369

    瀏覽量

    133373
  • 賽靈思
    +關(guān)注

    關(guān)注

    32

    文章

    1794

    瀏覽量

    130957
  • soc
    soc
    +關(guān)注

    關(guān)注

    38

    文章

    4021

    瀏覽量

    217011
  • Xilinx
    +關(guān)注

    關(guān)注

    70

    文章

    2136

    瀏覽量

    120373
  • 開發(fā)環(huán)境
    +關(guān)注

    關(guān)注

    1

    文章

    215

    瀏覽量

    16519
  • 編譯
    +關(guān)注

    關(guān)注

    0

    文章

    646

    瀏覽量

    32664
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    797

    瀏覽量

    65852

原文標(biāo)題:Vivado 設(shè)計套件助力快速編譯設(shè)計并達(dá)到性能目標(biāo)

文章出處:【微信號:賽靈思,微信公眾號:Xilinx賽靈思官微】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    Vivado設(shè)計套件——可編程顛覆之作

    電子發(fā)燒友網(wǎng)核心提示: 賽靈思稱為可編程顛覆之作Vivado設(shè)計套件于4月25日震撼登場。Vivado是賽靈思最新推出的、面向未來十年、替換ISE的設(shè)計套件。本文主要給大家介紹
    發(fā)表于 10-18 13:43 ?3057次閱讀

    Vivado設(shè)計套件快速入門視頻輔導(dǎo)資料

    Vivado?? 設(shè)計套件快速入門視頻輔導(dǎo)資料為您提高生產(chǎn)力提供了實時的特定功能和流程培訓(xùn)。新主題包括: . ?? 使用 ?System Generator for DSP? 和 ?IP
    發(fā)表于 02-09 02:22 ?276次閱讀

    vivado設(shè)計套件資料

    vivado設(shè)計套件資料
    發(fā)表于 10-31 09:49 ?44次下載
    <b class='flag-5'>vivado</b>設(shè)計<b class='flag-5'>套件</b>資料

    了解Vivado設(shè)計套件集成能力的九大理由分析

    理由一:突破器件密度極限:在單個器件中更快速集成更多功能;理由二:Vivado以可預(yù)測的結(jié)果提供穩(wěn)健可靠的性能和低功耗;理由三:Vivado設(shè)計套件
    發(fā)表于 11-22 08:15 ?1669次閱讀

    Vivado Design Suite 2017.1套件的新外觀與功能介紹

    該視頻介紹了2017.1 Vivado設(shè)計套件中的新外觀。 它討論了變更的動機(jī),介紹了一些亮點,演示了一些功能。
    的頭像 發(fā)表于 11-20 06:27 ?2545次閱讀

    如何使用Vivado設(shè)計套件配合Xilinx評估板的設(shè)計

    了解如何使用Vivado設(shè)計套件的電路板感知功能快速配置和實施針對Xilinx評估板的設(shè)計。
    的頭像 發(fā)表于 11-26 06:03 ?3241次閱讀

    Vivado 2015.3的新增量編譯功能

    了解Vivado實現(xiàn)中2015.3中的新增量編譯功能,包括更好地處理物理優(yōu)化和自動增量編譯流程。
    的頭像 發(fā)表于 11-30 19:24 ?4415次閱讀

    講述增量編譯方法,提高Vivado編譯效率

    當(dāng)RTL代碼修改較少時,使用增量編譯功能可以提高工程的編譯速度,Incremental Compile增量編譯Vivado提供的一項高階功能。目的旨在當(dāng)設(shè)計微小的改變時,重用綜合和布
    的頭像 發(fā)表于 01-22 17:27 ?9830次閱讀
    講述增量<b class='flag-5'>編譯</b>方法,提高<b class='flag-5'>Vivado</b><b class='flag-5'>編譯</b>效率

    如何使用Vivado 開發(fā)套件創(chuàng)建硬件工程

    本文主要介紹如何使用Vivado 開發(fā)套件創(chuàng)建硬件工程。
    的頭像 發(fā)表于 02-08 10:41 ?1249次閱讀
    如何使用<b class='flag-5'>Vivado</b> 開發(fā)<b class='flag-5'>套件</b>創(chuàng)建硬件工程

    Vivado里如何手動調(diào)整編譯順序

    通常情況下,一旦創(chuàng)建好Vivado工程,添加了相應(yīng)的RTL文件,Vivado會自動找到設(shè)計的頂層文件,正確地顯示設(shè)計層次。在這個過程中,Vivado會自動分析文件的編譯順序。那么是否可
    的頭像 發(fā)表于 01-06 09:27 ?3483次閱讀

    Vivado設(shè)計套件Tcl命令參考指南

    電子發(fā)燒友網(wǎng)站提供《Vivado設(shè)計套件Tcl命令參考指南.pdf》資料免費下載
    發(fā)表于 09-14 10:23 ?1次下載
    <b class='flag-5'>Vivado</b>設(shè)計<b class='flag-5'>套件</b>Tcl命令參考指南

    Vivado設(shè)計套件用戶指南

    電子發(fā)燒友網(wǎng)站提供《Vivado設(shè)計套件用戶指南.pdf》資料免費下載
    發(fā)表于 09-14 09:55 ?2次下載
    <b class='flag-5'>Vivado</b>設(shè)計<b class='flag-5'>套件</b>用戶指南

    Vivado設(shè)計套件用戶指南:邏輯仿真

    電子發(fā)燒友網(wǎng)站提供《Vivado設(shè)計套件用戶指南:邏輯仿真.pdf》資料免費下載
    發(fā)表于 09-13 15:46 ?0次下載
    <b class='flag-5'>Vivado</b>設(shè)計<b class='flag-5'>套件</b>用戶指南:邏輯仿真

    Vivado設(shè)計套件用戶:使用Vivado IDE的指南

    電子發(fā)燒友網(wǎng)站提供《Vivado設(shè)計套件用戶:使用Vivado IDE的指南.pdf》資料免費下載
    發(fā)表于 09-13 15:25 ?7次下載
    <b class='flag-5'>Vivado</b>設(shè)計<b class='flag-5'>套件</b>用戶:使用<b class='flag-5'>Vivado</b> IDE的指南

    Vivado設(shè)計套件用戶指南(設(shè)計流程概述)

    電子發(fā)燒友網(wǎng)站提供《Vivado設(shè)計套件用戶指南(設(shè)計流程概述).pdf》資料免費下載
    發(fā)表于 09-15 09:55 ?1次下載
    <b class='flag-5'>Vivado</b>設(shè)計<b class='flag-5'>套件</b>用戶指南(設(shè)計流程概述)