0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

基于FPGA的SPI Flash控制器的設(shè)計(jì)方案

FPGA設(shè)計(jì)論壇 ? 來源:未知 ? 2023-07-15 16:55 ? 次閱讀

點(diǎn)擊上方藍(lán)字關(guān)注我們

e21860b4-22ec-11ee-962d-dac502259ad0.png ?

摘要:傳統(tǒng)的Flash讀寫是通過CPU軟件編程實(shí)現(xiàn),其讀寫速度較慢,且占用CPU資源,另外由于Flash芯片本身功能指令較多,使得對芯片進(jìn)行直接操作變得非常困難。

本文提出一個(gè)基于FPGA的SPI Flash讀寫硬件實(shí)現(xiàn)方案,該方案利用硬件對SPI Flash進(jìn)行控制,能夠非常方便地完成Flash的讀寫、擦除、刷新及預(yù)充電等操作,同時(shí)編寫的SPI Flash控制器IP核能夠進(jìn)行移植和復(fù)用,作為SOC芯片的功能模塊。SPI Flash控制器采用VHDL語言進(jìn)行編寫,在Modelsim 6.5g上通過功能仿真,并且在XUPV5-LX110TFPGA開發(fā)板上通過硬件測試,實(shí)現(xiàn)結(jié)果表明方案的可行性。

1.引言

Flash是一種具有電可擦除的可編程ROM,按接口可以分為兩大類:并行Flash和串行Flash.并行Flash存儲量大,速度快;而串行Flash存儲量相對較小,但體積小,連線簡單,可減小電路面積,節(jié)約成本。SPI Flash是內(nèi)嵌SPI總線接口的串行Flash,它比起傳統(tǒng)的并行總線接口Flash,節(jié)省了很多的I/O口資源,從而為系統(tǒng)功能的擴(kuò)展提供了更多的可能。

隨著SPI Flash越來越多地應(yīng)用到各種領(lǐng)域,其傳統(tǒng)讀寫方式中讀寫速度不夠快、占用CPU資源以及操作不夠簡便靈活的缺點(diǎn)表現(xiàn)得更為突出,如何解決以上問題成為大家關(guān)注的焦點(diǎn)。利用硬件對SPI Flash進(jìn)行控制,能夠非常方便地完成Flash的讀寫、擦除、刷新及預(yù)充電等操作,且不占用CPU資源,同時(shí)編寫的SPI Flash控制器IP核能夠進(jìn)行移植和復(fù)用,作為SOC芯片的功能模塊。因此提出了一種基于FPGA的SPI Flash控制器的設(shè)計(jì)方案,并用VHDL實(shí)現(xiàn)。編寫的SPI Flash控制器IP核在Modelsim 6.5g上進(jìn)行了功能仿真,在FPGA開發(fā)板上進(jìn)行了測試驗(yàn)證,可作為功能模塊應(yīng)用于SOC芯片設(shè)計(jì)。

2.SPI Flash控制器設(shè)計(jì)

2.1 SPI Flash芯片選擇

本方案SPI Flash芯片采用GigaDevice公司的GD25Q系列,GD25Q系列SPI Flash包括4Mbit的GD25Q40,2M bit的GD25Q20,1M bit的GD25Q10以及521K bit的GD25Q512,這里將采用512K bit的GD25Q512.圖1為GD25Q系列SPIFlash(SOP8封裝)引腳排列圖。其中VCC和VSS分別為電源和地,其他6個(gè)引腳均可直接與F P G A的I / O引腳相連;寫保護(hù)引腳W P #和HOLD#掛起引腳用于數(shù)據(jù)保護(hù)和空閑模式的低功耗運(yùn)行,若不使用可將其置為高電平;CS#為片選信號,低電平時(shí)表示器件被選中,反之工作在待機(jī)狀態(tài);SO為串行數(shù)據(jù)輸出,數(shù)據(jù)在時(shí)鐘的下降沿輸出到Flash器件;SI為串行數(shù)據(jù)輸入,包括傳輸指令、地址和輸入數(shù)據(jù),輸入信號在時(shí)鐘的上升沿鎖存到Flash器件中。SCLK為串行時(shí)鐘,由FPGA提供。

e2281dec-22ec-11ee-962d-dac502259ad0.jpg

2.2 SPI Flash指令操作

GDQ25系列SPI Flash指令較多,所有指令都是8位,操作時(shí)先將片選信號CS#拉低選中器件,然后輸入8位操作指令字節(jié),串行數(shù)據(jù)在片選信號CS#拉低后的個(gè)時(shí)鐘的上升沿被采樣,SPI Flash啟動內(nèi)部控制邏輯,自動完成相應(yīng)操作。有些操作在輸入指令后需要輸入地址字節(jié)和偽字節(jié),操作完成后再將片選信號拉高。

2.3 SPI Flash控制器設(shè)計(jì)原理

SPI Flash控制器必須能夠產(chǎn)生SPI Flash芯片執(zhí)行各操作(如寫使能、刷新、預(yù)充電、讀芯片ID、讀取狀態(tài)寄存器、寫狀態(tài)寄存器、扇區(qū)擦除、塊擦除、整體擦除、讀取數(shù)據(jù)、快速讀取數(shù)據(jù)、頁面編程)時(shí)所需指令時(shí)序,用戶只需要輸入對應(yīng)操作的8位指令值及對應(yīng)的操作地址值,SPI接口與SPI Flash的數(shù)據(jù)傳輸將由SPI Flash控制器內(nèi)部狀態(tài)機(jī)控制執(zhí)行。

3.SPI Flash控制器實(shí)現(xiàn)

3.1 SPI Flash測試系統(tǒng)

本文設(shè)計(jì)的SPI Flash測試系統(tǒng)由用戶端、FPGA和SPI Flash構(gòu)成,系統(tǒng)框圖如圖2所示,其中FPGA選用Xilinx公司Virtex5系列芯片(Virtex-5 XC5VLX110T),SPI Flash芯片采用GigaDevice公司的GD25Q系列512K bit容量的GD25Q512.

e2410ff0-22ec-11ee-962d-dac502259ad0.jpg

SPI Flash控制器由VHDL編寫,主要為SPIFlash芯片提供串行時(shí)鐘,將從用戶端輸入的數(shù)據(jù)(包括指令字節(jié)、地址字節(jié)和數(shù)據(jù)字節(jié))寄存起來并在串行時(shí)鐘的控制下通過spi_dout信號線逐位輸出到SPI Flash芯片中,同樣將從SPI Flash芯片中讀出的串行數(shù)據(jù)轉(zhuǎn)換成并行數(shù)據(jù)送給用戶端。此外,SPI Flash控制器還必須在用戶通過sel、addr以及wr組合發(fā)出的操作命令下產(chǎn)生一系列的控制信號,并在這些控制信號的作用下根據(jù)狀態(tài)機(jī)的轉(zhuǎn)換方向進(jìn)行動作并且輸出相應(yīng)的結(jié)果。

3.2 SPI Flash控制器控制狀態(tài)機(jī)

由于SPI Flash操作命令較多,并且很多操作命令之間存在相同的操作步驟,所以利用狀態(tài)機(jī)進(jìn)行控制能夠準(zhǔn)確有條理地完成對SPI Flash的操作。分析GDQ25系列SPI Flashdatasheet,可將SPI Flash控制器的工作狀態(tài)劃分為空閑狀態(tài)(IDLE)、傳輸指令狀態(tài)(TxCMD)、傳輸高字節(jié)地址狀態(tài)(TxADD_H)、傳輸中間字節(jié)地址狀態(tài)(TxADD_M)、傳輸?shù)妥止?jié)地址狀態(tài)(TxADD_L)、傳輸偽字節(jié)狀態(tài)(TxDummy)、傳輸數(shù)據(jù)狀態(tài)(TxDATA)和接收數(shù)據(jù)狀態(tài)(RxDATA)。除此以外,由于所有接收到的指令值都寄存在指令寄存器內(nèi),當(dāng)一條指令執(zhí)行完畢時(shí)需要將指令寄存器清空,以便接收下一條用戶指令,所以設(shè)定一個(gè)清除指令狀態(tài)(CLR_CMD)作為每一操作完成后的收尾狀態(tài)。當(dāng)狀態(tài)機(jī)進(jìn)入CLR_CMD狀態(tài)后,表示當(dāng)前操作已經(jīng)完成,正將指令寄存器指令值清空;當(dāng)狀態(tài)機(jī)進(jìn)入IDLE狀態(tài)時(shí),用戶可輸入下一操作指令,對SPI Flash進(jìn)行下一操作??紤]到SPI Flash的響應(yīng)時(shí)間,在以上工作狀態(tài)中間插入了一些等待狀態(tài)(WAIT)。

4.SPI Flash控制器驗(yàn)證

SPI Flash控制器IP核在Modelsim 6.5g上能夠通過功能仿真,下面分析一下SPI Flash頁面編程操作及數(shù)據(jù)讀取操作的功能仿真。

4.1 頁面編程操作

頁面編程操作仿真波形如圖3所示,圖中截取輸入00H和01H數(shù)據(jù)的波形,多可連續(xù)輸入一頁256字節(jié)數(shù)據(jù)。當(dāng)用戶輸入頁面編程指令02H,狀態(tài)機(jī)進(jìn)入txcmd狀態(tài),頁編程指令02H通過spi_dout傳輸給SPI Flash.當(dāng)tx_bit_cnt計(jì)數(shù)到8時(shí),指令傳輸完畢,狀態(tài)機(jī)在等待后進(jìn)入txadd_h狀態(tài),同時(shí)tx_bit_cnt被清零,需寫入數(shù)據(jù)的對應(yīng)存儲空間的起始地址高字節(jié)值89H被傳輸。當(dāng)tx_bit_cnt計(jì)數(shù)到8時(shí),高字節(jié)地址值89H被傳輸完畢,狀態(tài)機(jī)在等待后進(jìn)入txadd_m狀態(tài),同時(shí)tx_bit_cnt被清零,同上,地址中間字節(jié)67H和45H被傳輸。當(dāng)add_h、add_m和add_l寄存器的值依次傳輸完畢,狀態(tài)機(jī)進(jìn)入wait6狀態(tài),等待用戶輸入需寫入SPI Flash的數(shù)據(jù)。當(dāng)用戶設(shè)定{sel,addr,wr}為10001b,狀態(tài)機(jī)進(jìn)入txdata狀態(tài),同時(shí)tx_new_data被置高,表示要傳輸新寫入的數(shù)據(jù)。在txdata狀態(tài)下,控制器將傳輸寫入的第1字節(jié)數(shù)據(jù)00H,當(dāng)tx_bit_cnt計(jì)數(shù)到8時(shí),第1字節(jié)數(shù)據(jù)00H被傳輸完畢,tx_new_data被拉低,tx_empty被拉高,表示當(dāng)前沒有可傳輸?shù)臄?shù)據(jù),狀態(tài)機(jī)進(jìn)入wait6狀態(tài),等待新數(shù)據(jù)寫入。直到用戶再次設(shè)定{sel,addr,wr}為10001b,狀態(tài)機(jī)再次進(jìn)入txdata狀態(tài),同時(shí)tx_new_data被置高,表示寫入的第2字節(jié)數(shù)據(jù)01H將要傳輸。當(dāng)tx_bit_cnt計(jì)數(shù)到8,第2字節(jié)數(shù)據(jù)傳輸完畢,tx_new_data被拉低,tx_empty被拉高,狀態(tài)機(jī)進(jìn)入wait6狀態(tài)。由于GDQ25系列SPI Flash頁面編程多可寫入256字節(jié)數(shù)據(jù),所以用戶在寫入數(shù)據(jù)時(shí),應(yīng)注意多寫入256次數(shù)據(jù),否則超過的數(shù)據(jù)將覆蓋開始的數(shù)據(jù)。當(dāng)狀態(tài)機(jī)處于wait6狀態(tài)而用戶想結(jié)束頁面編程時(shí),可向控制器輸入NOP指令強(qiáng)制結(jié)束當(dāng)前頁面編程操作。狀態(tài)機(jī)在接收到NOP指令后將進(jìn)入clr_cmd狀態(tài)和idle空閑態(tài),等待下一條指令的到來。當(dāng)頁面編程操作還沒結(jié)束時(shí),busy將一直被置高。

e25fc5da-22ec-11ee-962d-dac502259ad0.jpg

4.2 數(shù)據(jù)讀取操作

讀數(shù)據(jù)指令仿真波形如圖4所示。當(dāng)用戶輸入讀數(shù)據(jù)指令03H,狀態(tài)機(jī)進(jìn)入txcmd狀態(tài),讀數(shù)據(jù)指令通過spi_dout傳輸給SPI Flash.

e27d6928-22ec-11ee-962d-dac502259ad0.jpg

當(dāng)tx_bit_cnt計(jì)數(shù)到8時(shí),指令傳輸完畢,狀態(tài)機(jī)等待后進(jìn)入txadd_h狀態(tài),傳輸要讀出數(shù)據(jù)所在存儲空間起始地址的高字節(jié),同時(shí)tx_bit_cnt清零,以為下一個(gè)傳輸作準(zhǔn)備。地址高字節(jié)寄存器add_h數(shù)值56H通過spi_dout傳輸給SPI Flash,當(dāng)tx_bit_cnt計(jì)數(shù)到8時(shí),狀態(tài)機(jī)進(jìn)入txadd_m狀態(tài),傳輸?shù)刂分虚g字節(jié),同理,控制器在狀態(tài)txadd_m和狀態(tài)txadd_l狀態(tài)下完成傳輸?shù)刂分虚g字節(jié)34H和地址低字節(jié)12H.當(dāng)24位地址傳輸完畢,狀態(tài)機(jī)在等待后進(jìn)入rxdata狀態(tài),接收從spi_din輸入的字節(jié)數(shù)據(jù)01H.當(dāng)rx_bit_cnt計(jì)數(shù)到8時(shí),控制器完成第1字節(jié)數(shù)據(jù)的接收,rx_data顯示為01H,狀態(tài)機(jī)狀態(tài)轉(zhuǎn)換為wait8狀態(tài),等待用戶設(shè)定{sel,addr,wr}以接收第2字節(jié)。當(dāng)用戶設(shè)定{sel,addr,wr}為10000b時(shí),狀態(tài)機(jī)再次進(jìn)入rxdata狀態(tài),接收從spi_din輸入的第2字節(jié)數(shù)據(jù)02H,同時(shí)rx_ready被拉低,rx_empty被拉高,rd_data被拉高,表示將要讀出新輸入的數(shù)據(jù)。當(dāng)rx_bit_cnt計(jì)數(shù)到8時(shí),第2字節(jié)數(shù)據(jù)接收完畢,rx_ready被拉高,rx_empty為低,rd_data顯示新接收的字節(jié)數(shù)據(jù)02H,狀態(tài)機(jī)經(jīng)等待后重新進(jìn)入wait8狀態(tài),等待用戶再次設(shè)定{sel,addr,wr}值,接收第3字節(jié)數(shù)據(jù)值,同理,可讀出SPI Flash內(nèi)部所有數(shù)據(jù)。

當(dāng)狀態(tài)機(jī)處于wait8狀態(tài)而用戶想退出讀數(shù)據(jù)操作時(shí),可向控制器輸入NOP指令強(qiáng)制結(jié)束當(dāng)前讀數(shù)據(jù)操作。狀態(tài)機(jī)在接收到NOP指令后將進(jìn)入clr_cmd狀態(tài)和idle空閑態(tài),等待下一條指令的到來。當(dāng)讀數(shù)據(jù)操作還沒結(jié)束時(shí),busy將一直置高。

5.結(jié)語

目前SPI Flash控制器IP核已經(jīng)在XUPV5-LX110T FPGA開發(fā)板上通過硬件測試,并且將作為功能模塊應(yīng)用于SOC芯片設(shè)計(jì)。實(shí)踐證明,基于FPGA的SPI Flash控制器能夠簡化SPI Flash讀寫操作流程,從而提高SPI Flash的讀寫速度,而操作時(shí)不占用CPU資源的特點(diǎn),將使SPIFlash的讀寫更有優(yōu)勢

e29f1c08-22ec-11ee-962d-dac502259ad0.png

有你想看的精彩 至芯科技-FPGA就業(yè)培訓(xùn)來襲!你的選擇開啟你的高薪之路!7月12號北京中心開課、歡迎咨詢! 解析高速ADCDAC與FPGA的配合使用 FPGA管腳調(diào)整的注意事項(xiàng)

e2aeab14-22ec-11ee-962d-dac502259ad0.jpg

掃碼加微信邀請您加入FPGA學(xué)習(xí)交流群

e2ce52de-22ec-11ee-962d-dac502259ad0.jpge2e0b0be-22ec-11ee-962d-dac502259ad0.png

歡迎加入至芯科技FPGA微信學(xué)習(xí)交流群,這里有一群優(yōu)秀的FPGA工程師、學(xué)生、老師、這里FPGA技術(shù)交流學(xué)習(xí)氛圍濃厚、相互分享、相互幫助、叫上小伙伴一起加入吧!

點(diǎn)個(gè)在看你最好看


原文標(biāo)題:基于FPGA的SPI Flash控制器的設(shè)計(jì)方案

文章出處:【微信公眾號:FPGA設(shè)計(jì)論壇】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598906

原文標(biāo)題:基于FPGA的SPI Flash控制器的設(shè)計(jì)方案

文章出處:【微信號:gh_9d70b445f494,微信公眾號:FPGA設(shè)計(jì)論壇】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    基于FPGASPI Flash控制器設(shè)計(jì)方案

    Flash控制器設(shè)計(jì)方案,并用VHDL實(shí)現(xiàn)。編寫的SPI Flash控制器IP核在Model
    發(fā)表于 06-03 10:13

    基于FPGA的內(nèi)存128M flash芯片控制器設(shè)計(jì)

    今天給大俠帶來基于FPGA的內(nèi)存128M flash芯片控制器設(shè)計(jì),話不多說,上貨。 設(shè)計(jì)原理及思路 FLASH閃存 閃存的英文名稱是\"F
    發(fā)表于 05-23 16:07

    基于FPGA的內(nèi)存128M flash芯片控制器設(shè)計(jì)方案

    這款flash芯片的的存儲是一個(gè)扇區(qū)4KB,一個(gè)扇區(qū)可以存256個(gè)字,一個(gè)字是8位,一個(gè)塊是64KB,一共有256個(gè)塊組成一個(gè)存儲flash內(nèi)存。
    發(fā)表于 04-19 09:52 ?953次閱讀
    基于<b class='flag-5'>FPGA</b>的內(nèi)存128M <b class='flag-5'>flash</b>芯片<b class='flag-5'>控制器</b><b class='flag-5'>設(shè)計(jì)方案</b>

    fpga配置flash怎么用來存儲數(shù)據(jù)

    要求使用其他存儲設(shè)備,如Flash存儲,來存儲數(shù)據(jù)。 Flash存儲是一種非易失性存儲,能夠長時(shí)間保存數(shù)據(jù),即使在斷電情況下也能保存數(shù)
    的頭像 發(fā)表于 12-15 15:42 ?1790次閱讀

    Xilinx FPGAspi flash啟動配置數(shù)據(jù)時(shí)的地址問題

    fpga 上電時(shí),默認(rèn)是從 flash 的 0x00 地址開始讀數(shù)據(jù)。如 UG470 文檔 page144 描述
    的頭像 發(fā)表于 11-29 09:20 ?749次閱讀
    Xilinx <b class='flag-5'>FPGA</b>從<b class='flag-5'>spi</b> <b class='flag-5'>flash</b>啟動配置數(shù)據(jù)時(shí)的地址問題

    基于LM3S811的新型太陽能熱水控制器設(shè)計(jì)方案

    電子發(fā)燒友網(wǎng)站提供《基于LM3S811的新型太陽能熱水控制器設(shè)計(jì)方案.doc》資料免費(fèi)下載
    發(fā)表于 11-14 14:41 ?0次下載
    基于LM3S811的新型太陽能熱水<b class='flag-5'>器</b><b class='flag-5'>控制器</b><b class='flag-5'>設(shè)計(jì)方案</b>

    Nand Flash接口定義解析 基于AMD FPGA的Nand Flash接口讀寫實(shí)現(xiàn)

    Nand Flash因其具有容量大、成本低、壽命長的特點(diǎn),被廣泛的用作數(shù)據(jù)存儲的解決方案。然而NandFlash的讀寫控制較為復(fù)雜,Nand Flash的接口
    的頭像 發(fā)表于 11-10 09:40 ?6425次閱讀
    Nand <b class='flag-5'>Flash</b>接口定義解析 基于AMD <b class='flag-5'>FPGA</b>的Nand <b class='flag-5'>Flash</b>接口讀寫實(shí)現(xiàn)

    利用SPI控制器控制nRF24L01射頻模塊的驅(qū)動程序設(shè)計(jì)

    電子發(fā)燒友網(wǎng)站提供《利用SPI控制器控制nRF24L01射頻模塊的驅(qū)動程序設(shè)計(jì).pdf》資料免費(fèi)下載
    發(fā)表于 11-06 16:34 ?1次下載
    利用<b class='flag-5'>SPI</b><b class='flag-5'>控制器</b><b class='flag-5'>控制</b>nRF24L01射頻模塊的驅(qū)動程序設(shè)計(jì)

    集成式電機(jī)控制器的電氣設(shè)計(jì)方案

    統(tǒng)傳感信息,根據(jù)指令傳輸電機(jī)控制信號。本文通過實(shí)例介紹集成式電機(jī)控制器的電氣設(shè)計(jì)方案控制器邏輯,從點(diǎn)到面介紹集成
    的頭像 發(fā)表于 11-06 10:22 ?1107次閱讀
    集成式電機(jī)<b class='flag-5'>控制器</b>的電氣<b class='flag-5'>設(shè)計(jì)方案</b>

    基于CPLD/FPGA的多串口擴(kuò)展設(shè)計(jì)方案

    電子發(fā)燒友網(wǎng)站提供《基于CPLD/FPGA的多串口擴(kuò)展設(shè)計(jì)方案.pdf》資料免費(fèi)下載
    發(fā)表于 10-27 09:45 ?3次下載
    基于CPLD/<b class='flag-5'>FPGA</b>的多串口擴(kuò)展<b class='flag-5'>設(shè)計(jì)方案</b>

    基于DSP和FPGA的通用控制器設(shè)計(jì)

    電子發(fā)燒友網(wǎng)站提供《基于DSP和FPGA的通用控制器設(shè)計(jì).pdf》資料免費(fèi)下載
    發(fā)表于 10-25 10:57 ?0次下載
    基于DSP和<b class='flag-5'>FPGA</b>的通用<b class='flag-5'>控制器</b>設(shè)計(jì)

    基于FPGA的步進(jìn)電機(jī)伺服控制器設(shè)計(jì)

    電子發(fā)燒友網(wǎng)站提供《基于FPGA的步進(jìn)電機(jī)伺服控制器設(shè)計(jì).pdf》資料免費(fèi)下載
    發(fā)表于 10-25 09:10 ?0次下載
    基于<b class='flag-5'>FPGA</b>的步進(jìn)電機(jī)伺服<b class='flag-5'>控制器</b>設(shè)計(jì)

    基于VHDL語言用FPGA制作SPI-ASI接口轉(zhuǎn)換

    是使用非常廣泛的一種接口形式,該接口隨同SPI一起被歐洲電信標(biāo)準(zhǔn)化協(xié)會(ETSI)制訂,以使不同廠家生產(chǎn)的MPEG2單元可以方便地進(jìn)行互聯(lián)。本設(shè)計(jì)方案FPGA為核心器件,制作出了SPI
    的頭像 發(fā)表于 10-18 12:13 ?2196次閱讀
    基于VHDL語言用<b class='flag-5'>FPGA</b>制作<b class='flag-5'>SPI</b>-ASI接口轉(zhuǎn)換<b class='flag-5'>器</b>

    基于FPGA的PCI硬件加解密卡的設(shè)計(jì)方案

    電子發(fā)燒友網(wǎng)站提供《基于FPGA的PCI硬件加解密卡的設(shè)計(jì)方案.pdf》資料免費(fèi)下載
    發(fā)表于 10-18 11:18 ?1次下載
    基于<b class='flag-5'>FPGA</b>的PCI硬件加解密卡的<b class='flag-5'>設(shè)計(jì)方案</b>

    步進(jìn)電機(jī)控制器FPGA的實(shí)現(xiàn)

    電子發(fā)燒友網(wǎng)站提供《步進(jìn)電機(jī)控制器FPGA的實(shí)現(xiàn).pdf》資料免費(fèi)下載
    發(fā)表于 10-07 16:29 ?1次下載
    步進(jìn)電機(jī)<b class='flag-5'>控制器</b>的<b class='flag-5'>FPGA</b>的實(shí)現(xiàn)