0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

CoWoS是什么?CoWoS有幾種變體?

jf_BPGiaoE5 ? 來(lái)源:半導(dǎo)體風(fēng)向標(biāo) ? 2023-07-19 09:59 ? 次閱讀

真正的瓶頸-CoWoS 《下一個(gè)風(fēng)口?》

盡管Nvidia試圖大幅增加產(chǎn)量,最高端的Nvidia GPU H100將一直售罄到明年第一季度。

真正的瓶頸是CoWoS容量。CoWoS是臺(tái)積電的一種“2.5D”封裝技術(shù),其中多個(gè)有源硅芯片(通常的配置是邏輯和HBM堆棧)集成在無(wú)源硅中介層上。中介層充當(dāng)頂部有源芯片的通信層。然后將內(nèi)插器和有源硅連接到包含要放置在系統(tǒng)PCB上的I/O的封裝基板。

5bdee926-2569-11ee-962d-dac502259ad0.png

HBM和CoWoS是相輔相成的。HBM的高焊盤數(shù)和短跡線長(zhǎng)度要求需要2.5D先進(jìn)封裝技術(shù),如CoWoS,以實(shí)現(xiàn)這種密集的短連接,這在PCB甚至封裝基板上是無(wú)法實(shí)現(xiàn)的。CoWoS是主流封裝技術(shù),以合理的成本提供最高的互連密度和最大的封裝尺寸。由于目前幾乎所有的HBM系統(tǒng)都封裝在Co Wos上,所有先進(jìn)的人工智能加速器都使用HBM,因此幾乎所有領(lǐng)先的數(shù)據(jù)中心GPU都是臺(tái)積電封裝在Co Wos上的。

雖然3D封裝技術(shù),如臺(tái)積電的SoIC可以直接在邏輯上堆疊芯片,但由于熱量和成本,它對(duì)HBM沒(méi)有意義。SoIC在互連密度方面處于不同的數(shù)量級(jí),更適合用芯片堆疊來(lái)擴(kuò)展片內(nèi)緩存,這一點(diǎn)可以從AMD的3D V-Cache解決方案中看出。AMD的Xilinx也是多年前將多個(gè)FPGA芯片組合在一起的第一批CoWoS用戶。

5c11cd82-2569-11ee-962d-dac502259ad0.png

雖然還有一些其他應(yīng)用程序使用CoWoS,例如網(wǎng)絡(luò)(其中一些用于網(wǎng)絡(luò)GPU集群,如Broadcom的Jericho3-AI)、超級(jí)計(jì)算和FPGA,但絕大多數(shù)CoWoS需求來(lái)自人工智能。與半導(dǎo)體供應(yīng)鏈的其他部分不同,其他主要終端市場(chǎng)的疲軟意味著有足夠的閑置空間來(lái)吸收GPU需求的巨大增長(zhǎng),CoWoS和HBM已經(jīng)是大多數(shù)面向人工智能的技術(shù),因此所有閑置空間已在第一季度被吸收。隨著GPU需求的爆炸式增長(zhǎng),供應(yīng)鏈中的這些部分無(wú)法跟上并成為GPU供應(yīng)的瓶頸。

臺(tái)積電首席執(zhí)行官魏哲家表示:“就在最近這兩天,我接到一個(gè)客戶的電話,要求大幅增加后端容量,特別是在CoWoS中。我們?nèi)栽谠u(píng)估這一點(diǎn)?!?/p>

臺(tái)積電一直在為更多的封裝需求做好準(zhǔn)備,但可能沒(méi)想到這一波生成式人工智能需求來(lái)得如此之快。6月,臺(tái)積電宣布在竹南開(kāi)設(shè)先進(jìn)后端晶圓廠6。該晶圓廠占地14.3公頃足以容納每年100萬(wàn)片晶圓的3DFabric產(chǎn)能。這不僅包括CoWoS,還包括SoIC和InFO技術(shù)。

有趣的是,該工廠比臺(tái)積電其他封裝工廠的總和還要大。雖然這只是潔凈室空間,遠(yuǎn)未配備齊全的工具來(lái)實(shí)際提供如此大的容量,但很明顯,臺(tái)積電正在做好準(zhǔn)備,預(yù)計(jì)對(duì)其先進(jìn)封裝解決方案的需求會(huì)增加。

5c94dfec-2569-11ee-962d-dac502259ad0.png

確實(shí)有點(diǎn)幫助的是,在Wafer級(jí)別的扇出封裝能力(主要用于智能手機(jī)SoC)方面存在不足,其中一些可以在CoWoS過(guò)程中重新使用。特別是有一些重疊的過(guò)程,如沉積,電鍍,反磨,成型,放置,和RDL形成。我們將通過(guò)CoWoS流程和所有的公司誰(shuí)看到了積極的需求,因?yàn)樗谝粋€(gè)后續(xù)部分。設(shè)備供應(yīng)鏈中存在著有意義的轉(zhuǎn)變。

還有來(lái)自英特爾、三星和OSAT的其他2.5D封裝技術(shù)(如ASE的FOEB),CoWoS是唯一在大容量中使用的技術(shù),因?yàn)門SMC是人工智能加速器的最主要的晶圓廠。甚至英特爾哈巴納的加速器都是由臺(tái)積電制造和封裝的。

CoWoS變體

CoWoS有幾種變體,但原始CoWoS-S仍然是大批量生產(chǎn)中的唯一配置。這是如上所述的經(jīng)典配置:邏輯芯片+HBM芯片通過(guò)帶有TSV的硅基中介層連接。然后將中介層放置在有機(jī)封裝基板上。

5d12f0bc-2569-11ee-962d-dac502259ad0.png

硅中介層的一項(xiàng)支持技術(shù)是一種稱為“掩模版縫合”的技術(shù)。由于光刻工具狹縫/掃描最大尺寸,芯片的最大尺寸通常為26mmx33mm。隨著GPU芯片本身接近這一極限,并且還需要在其周圍安裝HBM,中介層需要很大,并且將遠(yuǎn)遠(yuǎn)超出這一標(biāo)線極限。臺(tái)積電解決了這與網(wǎng)線拼接,這使他們的模式插入式多次的刻線限制(目前最高3.5倍與AMD MI 300)。

5da31fde-2569-11ee-962d-dac502259ad0.png

CoWOS-R使用在具有再分布層(RDL)的有機(jī)襯底上,而不是硅中間層。這是一個(gè)成本較低的變體,犧牲的I/O密度,由于使用有機(jī)RDL,而不是基于硅的插入物。正如我們已經(jīng)詳細(xì)介紹的,AMD的MI300最初是在CoWoS-R上設(shè)計(jì)的,但我們認(rèn)為,由于翹曲和熱穩(wěn)定性問(wèn)題,AMD不得不使用CoWoS-S。

5ead613c-2569-11ee-962d-dac502259ad0.png

CoWoS-L預(yù)計(jì)將在今年晚些時(shí)候推出,它采用RDL內(nèi)插器,但包含有源和/或無(wú)源硅橋,用于嵌入內(nèi)插器中的管芯到管芯互連。這是臺(tái)積電的相當(dāng)于英特爾的EMIB封裝技術(shù)。這將允許更大的封裝尺寸,因?yàn)楣璨迦胛镌絹?lái)越難以擴(kuò)展。MI300 Co WO S-S可能是一個(gè)單一的硅插入器的限制附近。

5edebb42-2569-11ee-962d-dac502259ad0.png

這將是更經(jīng)濟(jì)的更大的設(shè)計(jì)去與CoWoS-L臺(tái)積電正在研究一個(gè)CoWoS-L的超級(jí)載波內(nèi)插器在6倍分劃板的大小。對(duì)于CoWOS-S,他們沒(méi)有提到 4x reticle 之外的任何內(nèi)容。這是因?yàn)楣璨迦胛锏拇嗳跣浴_@種硅中間層只有100微米厚,在工藝流程中,隨著中間層尺寸的增大,存在分層或開(kāi)裂的風(fēng)險(xiǎn)。

據(jù) DigiTimes 報(bào)道,臺(tái)積電正在加快與后端設(shè)備供應(yīng)商的合作,因?yàn)樗_(kāi)始了晶圓基板上芯片(CoWoS)封裝產(chǎn)能的擴(kuò)張計(jì)劃。英偉達(dá)在人工智能和高性能計(jì)算領(lǐng)域占據(jù)主導(dǎo)地位的計(jì)算GPU短缺,主要?dú)w因于臺(tái)積電有限的CoWoS封裝生產(chǎn)能力。

有報(bào)道稱,臺(tái)積電計(jì)劃到 2023 年底將其目前的 CoWoS 產(chǎn)能從每月 8,000 片晶圓增加到每月 11,000 片晶圓,然后到 2024 年底增加到每月 14,500 至 16,600 片晶圓左右。此前有傳言稱英偉達(dá)將提高 CoWoS 產(chǎn)能到 2024 年底,每月生產(chǎn) 20,000 片晶圓。請(qǐng)記住,這些信息來(lái)自非官方來(lái)源,可能不準(zhǔn)確。

Nvidia、亞馬遜、博通、思科和賽靈思等主要科技巨頭都增加了對(duì)臺(tái)積電先進(jìn) CoWoS 封裝的需求,并消耗了他們能獲得的每一片晶圓。據(jù) DigiTimes 報(bào)道,臺(tái)積電因此被迫重新訂購(gòu)必要的設(shè)備和材料。人工智能服務(wù)器的產(chǎn)量顯著增加,刺激了對(duì)這些先進(jìn)封裝服務(wù)本已強(qiáng)烈的需求。

Nvidia 已經(jīng)預(yù)訂了臺(tái)積電明年可用 CoWoS 產(chǎn)能的 40%。然而,報(bào)告稱,由于嚴(yán)重短缺,Nvidia 已開(kāi)始探索與其二級(jí)供應(yīng)商的選擇,向 Amkor Technology 和聯(lián)華電子 (UMC) 下訂單,盡管這些訂單相對(duì)較小。

臺(tái)積電還開(kāi)始實(shí)施戰(zhàn)略變革,例如將其部分 InFO 產(chǎn)能從臺(tái)灣北部龍?zhí)豆S重新分配到臺(tái)灣南部科學(xué)園區(qū) (STSP)。它還在快速推進(jìn)龍?zhí)痘氐臄U(kuò)建。此外,臺(tái)積電正在增加其內(nèi)部 CoWoS 產(chǎn)量,同時(shí)將部分 OS 制造外包給其他封裝和測(cè)試 (OSAT) 公司。例如,Siliconware Precision Industries (SPIL) 就是這一外包計(jì)劃的受益者之一。

臺(tái)積電前段時(shí)間開(kāi)設(shè)了先進(jìn)后端 Fab 6 工廠。它將擴(kuò)大其前端 3D 堆疊 SoIC(CoW、WoW)技術(shù)和后端 3D 封裝方法(InFO、CoWoS)的先進(jìn)封裝產(chǎn)能。目前,該晶圓廠已為 SoIC 做好準(zhǔn)備。先進(jìn)后端 Fab 6 每年可處理約 100 萬(wàn)片 300 毫米晶圓,每年進(jìn)行超過(guò) 1000 萬(wàn)小時(shí)的測(cè)試,其潔凈室空間大于臺(tái)積電所有其他先進(jìn)封裝設(shè)施的潔凈室空間總和。

Advanced Backend Fab 6 最令人印象深刻的功能之一是廣泛的五合一智能自動(dòng)化物料搬運(yùn)系統(tǒng)。該系統(tǒng)控制生產(chǎn)流程并立即檢測(cè)缺陷,從而提高良率。這對(duì)于 AMD MI300 等復(fù)雜的多小芯片組件至關(guān)重要,因?yàn)榉庋b缺陷會(huì)立即導(dǎo)致所有小芯片無(wú)法使用,從而導(dǎo)致重大損失。該工廠的數(shù)據(jù)處理能力比平均速度快 500 倍,可以維護(hù)全面的生產(chǎn)記錄并跟蹤其處理的每個(gè)芯片。

Nvidia 將 CoWoS 用于其非常成功的 A100、A30、A800、H100 和 H800 計(jì)算 GPU。AMD 的 Instinct MI100、Instinct MI200/MI200/MI250X 以及即將推出的 Instinct MI300 也使用 CoWoS。






審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 加速器
    +關(guān)注

    關(guān)注

    2

    文章

    785

    瀏覽量

    37147
  • 人工智能
    +關(guān)注

    關(guān)注

    1787

    文章

    46061

    瀏覽量

    234985
  • FPGA芯片
    +關(guān)注

    關(guān)注

    3

    文章

    246

    瀏覽量

    39668
  • 硅芯片
    +關(guān)注

    關(guān)注

    0

    文章

    90

    瀏覽量

    16894
  • CoWoS
    +關(guān)注

    關(guān)注

    0

    文章

    122

    瀏覽量

    10396

原文標(biāo)題:CoWoS是什么?

文章出處:【微信號(hào):光刻人的世界,微信公眾號(hào):光刻人的世界】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    華立搭乘CoWoS擴(kuò)產(chǎn)快車,封裝材料業(yè)績(jī)預(yù)翻倍

    臺(tái)灣電子材料領(lǐng)域的領(lǐng)軍企業(yè)華立(3010-TW)正積極搭乘全球CoWoS(Chip-on-Wafer-on-Substrate)封裝技術(shù)的擴(kuò)產(chǎn)浪潮。張尊賢近日表示,華立的CoWoS封裝材料已成功打入
    的頭像 發(fā)表于 09-06 17:34 ?432次閱讀

    什么是CoWoS封裝技術(shù)?

    CoWoS(Chip-on-Wafer-on-Substrate)是一種先進(jìn)的半導(dǎo)體封裝技術(shù),它結(jié)合了芯片堆疊與基板連接的優(yōu)勢(shì),實(shí)現(xiàn)了高度集成、高性能和低功耗的封裝解決方案。以下是對(duì)CoWoS封裝技術(shù)的詳細(xì)解析,包括其定義、工作原理、技術(shù)特點(diǎn)、應(yīng)用領(lǐng)域以及未來(lái)發(fā)展趨勢(shì)等方
    的頭像 發(fā)表于 08-08 11:40 ?730次閱讀

    消息稱臺(tái)積電首度釋出CoWoS封裝前段委外訂單

    近日,據(jù)臺(tái)灣媒體報(bào)道,全球領(lǐng)先的半導(dǎo)體制造巨頭臺(tái)積電在先進(jìn)封裝技術(shù)領(lǐng)域邁出了重要一步,首次將CoWoS封裝技術(shù)中的核心CoW(Chip on Wafer)步驟的代工訂單授予了矽品精密工業(yè)股份有限公司。這一決策標(biāo)志著臺(tái)積電在提升CoWoS整體產(chǎn)能、應(yīng)對(duì)市場(chǎng)供不應(yīng)求挑戰(zhàn)方面邁
    的頭像 發(fā)表于 08-07 17:21 ?553次閱讀

    臺(tái)積電嘉義CoWoS廠施工暫停,疑似發(fā)現(xiàn)古遺跡

    近日,臺(tái)積電在中國(guó)臺(tái)灣嘉義科學(xué)園區(qū)規(guī)劃建設(shè)的兩座CoWoS先進(jìn)封裝廠的建設(shè)工作遭遇波折。原計(jì)劃中,第一座CoWoS廠已于今年5月動(dòng)工,進(jìn)行地質(zhì)勘探工作。然而,施工現(xiàn)場(chǎng)卻在6月初因發(fā)現(xiàn)疑似遺跡而暫停施工。
    的頭像 發(fā)表于 06-19 14:45 ?577次閱讀

    什么是 CoWoS 封裝技術(shù)?

    共讀好書(shū) 芯片封裝由 2D 向 3D 發(fā)展的過(guò)程中,衍生出多種不同的封裝技術(shù)。其中,2.5D 封裝是一種先進(jìn)的異構(gòu)芯片封裝,可以實(shí)現(xiàn)從成本、性能到可靠性的完美平衡。 目前 CoWoS 封裝技術(shù)
    的頭像 發(fā)表于 06-05 08:44 ?196次閱讀

    AI芯片需求猛增,CoWoS封裝供不應(yīng)求,HBM技術(shù)難度升級(jí)

    行業(yè)觀察者預(yù)測(cè),英偉達(dá)即將推出的B系列產(chǎn)品,如GB200, B100, B200等,將對(duì)CoWoS封裝產(chǎn)能產(chǎn)生巨大壓力。據(jù)IT之家早前報(bào)道,臺(tái)積電已計(jì)劃在2024年提高CoWoS產(chǎn)能至每月近4萬(wàn)片,較去年增長(zhǎng)逾150%。
    的頭像 發(fā)表于 05-20 14:39 ?455次閱讀

    CoWoS先進(jìn)封裝產(chǎn)能吃緊,英偉達(dá)GPU供應(yīng)依舊受限

    英偉達(dá)占據(jù)全球AI GPU市場(chǎng)約80%的份額,根據(jù)集邦咨詢預(yù)測(cè),到2024年,臺(tái)積電CoWoS月產(chǎn)能有望增至4萬(wàn)片,并在明年底實(shí)現(xiàn)翻番。然而,隨著英偉達(dá)B100和B200芯片的問(wèn)世,單片硅中介層面積增大,CoWoS產(chǎn)能依然吃緊。
    的頭像 發(fā)表于 05-20 11:58 ?346次閱讀

    CoWoS封裝在Chiplet中的信號(hào)及電源完整性介紹

    基于 CoWoS-R 技術(shù)的 UCIe 協(xié)議與 IPD 的高速互連是小芯片集成和 HPC 應(yīng)用的重要平臺(tái)。
    的頭像 發(fā)表于 04-20 17:48 ?1170次閱讀
    <b class='flag-5'>CoWoS</b>封裝在Chiplet中的信號(hào)及電源完整性介紹

    曝臺(tái)積電考慮引進(jìn)CoWoS技術(shù) 籌劃日本建先進(jìn)封裝產(chǎn)能

     今年年初,臺(tái)積電總裁魏哲家曾表示,公司計(jì)劃在今年將CoWoS的產(chǎn)量翻倍,并在2025年繼續(xù)擴(kuò)大產(chǎn)能。日本已成為臺(tái)積電擴(kuò)大產(chǎn)能的重要目標(biāo)。
    的頭像 發(fā)表于 03-18 15:31 ?865次閱讀

    曝臺(tái)積電考慮引進(jìn)CoWoS技術(shù)

    隨著全球半導(dǎo)體市場(chǎng)的持續(xù)繁榮和技術(shù)的不斷進(jìn)步,臺(tái)積電作為全球領(lǐng)先的半導(dǎo)體制造企業(yè),近日傳出正在考慮在日本建立先進(jìn)的封裝產(chǎn)能。這一舉措不僅可能改變?nèi)毡景雽?dǎo)體產(chǎn)業(yè)的格局,更可能標(biāo)志著臺(tái)積電首次對(duì)外輸出其獨(dú)家的CoWoS封裝技術(shù)。
    的頭像 發(fā)表于 03-18 13:43 ?688次閱讀

    CoWoS封裝產(chǎn)能限制AI芯片出貨量

    晶圓廠設(shè)備制造商稱,臺(tái)積電的可用CoWoS產(chǎn)能仍不足以滿足需求。消息人士稱,盡管臺(tái)積電努力加快設(shè)備改造,但到2023年底,CoWoS的月產(chǎn)能僅為15000片晶圓。
    的頭像 發(fā)表于 01-19 11:14 ?769次閱讀

    AMD尋求CoWoS供應(yīng)商替代臺(tái)積電,為AI加速卡生產(chǎn)尋找替代品

    據(jù)臺(tái)灣CTEE媒體報(bào)道,鑒于臺(tái)積電忙于處理來(lái)自英偉達(dá)、甚至其他企業(yè)的大量訂單,AMD戰(zhàn)略性地選擇了尋找臺(tái)積電以外的CoWoS供貨商。面對(duì)臺(tái)積電當(dāng)前產(chǎn)能已達(dá)極限的狀況,特別是難以滿足CoWoS封裝需求的現(xiàn)實(shí),AMD不得不盡快投入尋找新的供貨渠道。
    的頭像 發(fā)表于 01-05 10:08 ?419次閱讀

    AMD尋求CoWoS產(chǎn)能,以拓展AI芯片市場(chǎng)

     據(jù)了解,臺(tái)積電公司(TSMC)的CoWoS產(chǎn)能已經(jīng)飽和,且未來(lái)擴(kuò)產(chǎn)計(jì)劃主要服務(wù)于英偉達(dá),為滿足AMD需求新建生產(chǎn)線需耗時(shí)6—9個(gè)月。據(jù)此推測(cè),AMD可能會(huì)尋找具有類似CoWoS 封裝技術(shù)的其他制造商合作,日月光、安靠(Amkor)、力成以及京元電或許是首選對(duì)象。
    的頭像 發(fā)表于 01-03 14:07 ?470次閱讀

    CoWoS技術(shù)采用無(wú)源硅中介層作為通信層能有效地減少信號(hào)干擾和噪聲?

    為什么CoWoS技術(shù)采用了無(wú)源硅中介層作為通信層可以有效地減少信號(hào)干擾和噪聲? CoWoS(Chip-on-Wafer-on-Substrate)技術(shù)是一種在集成電路封裝中采用的先進(jìn)技術(shù),它采用
    的頭像 發(fā)表于 12-07 10:53 ?419次閱讀

    報(bào)告稱臺(tái)積電改機(jī)增CoWoS產(chǎn)能 預(yù)估明年倍增

    在展望明年cowos生產(chǎn)能力狀況時(shí),法人預(yù)測(cè)臺(tái)積電明年cowos的年生產(chǎn)能力將增加100%,其中英偉達(dá)將占tsmc cowos生產(chǎn)能力的40%左右,amd將占8%左右。臺(tái)積電以外的供應(yīng)鏈可以增加20%的設(shè)備。
    的頭像 發(fā)表于 11-08 14:29 ?575次閱讀