0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Xilinx Vivado DDS IP使用方法

CHANBAEK ? 來源:一個早起的程序員 ? 作者:一個早起的程序員 ? 2023-07-24 11:23 ? 次閱讀

1 DDS概念

DDS(Direct Digital Frequency Synthesizer) 直接數(shù)字頻率合成器,本文主要介紹如何調(diào)用Xilinx的DDS IP核生成某一頻率的Sin和Cos信號

2 DDS IP使用

打開Vivado創(chuàng)建Block Design。

圖片

添加 DDS IP。

圖片

1、我們選擇波形與相位同時存在的情況。

2、選擇DDS IP輸入的系統(tǒng)時鐘頻率,這里我們選擇100MHz。

3、DDS通道的數(shù)目,我們這里選擇一個。

4、整個IP配置的模式,我們這里選擇標準模式即可。

5、參數(shù)選擇的模式,我們這里選擇系統(tǒng)參數(shù),這個選擇主要影響IP的定制界面所涉及到的參數(shù)。

6、頻率的動態(tài)范圍,主要和DDS內(nèi)部RAM的數(shù)據(jù)位寬有關(guān),計算方法是20log2n,其中n為RAM的位寬。

7、選擇DDS的最小的頻率分辨率。

圖片

1、相位增量我們選擇固定。

2、相位偏執(zhí)我們也選擇成固定,這兩個參數(shù)可以動態(tài)控制輸出的頻率。

3、我們這里產(chǎn)生正弦波和余弦波。

4、因為我們只考慮正弦波的頻率,所以這里不再輸出相位信息。

圖片

輸入我們需要DDS輸出的頻率,這里我們輸入5MHz,其余選項,我們選擇默認即可。點擊確定。

圖片

生成頂層文件。

圖片

添加仿真文件。

圖片

3 Testbench編寫

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 一個早起的程序員
// 
// Create Date: 2020/12/01 23:08:37
// Design Name: 
// Module Name: sim
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module sim(
);

wire        [7:0]       m_axis_data_tdata_0  ;
wire        [31:0]      m_axis_phase_tdata_0 ;
reg                     aclk            ;


initial begin
    aclk  =  1'b0;
end


always  #5 aclk =  ~aclk;


design_1_wrapper design_1_wrapper_u0(
    .aclk_0                             (aclk                   ),
    .m_axis_data_tdata_0                (m_axis_data_tdata_0    ),
    .m_axis_phase_tdata_0               (m_axis_phase_tdata_0   )
);    


endmodule

4 波形分析

1.核心的核心:5M的波形周期則為200ns,因為時鐘只有100M,所以一個周期只能輸出20個點。

圖片

2.核心的核心:設置界面Summary顯示Phase Increment值為0xCCCCCC,從波形上也可以看出,兩個點角度差0xCCCCCC。

圖片

圖片

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • Xilinx
    +關(guān)注

    關(guān)注

    70

    文章

    2137

    瀏覽量

    120386
  • 時鐘
    +關(guān)注

    關(guān)注

    10

    文章

    1673

    瀏覽量

    130955
  • DDS
    DDS
    +關(guān)注

    關(guān)注

    21

    文章

    625

    瀏覽量

    152288
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    797

    瀏覽量

    65854
收藏 人收藏

    評論

    相關(guān)推薦

    xilinx的Chipscope的使用方法

    xilinx的Chipscope的使用方法
    發(fā)表于 01-12 15:28

    使用VIVADO IDE設計的最有效方法是什么?

    早安Xilinx Communitry,我有一個關(guān)于VIVADO IP中心設計流程的問題。設計針對Xilinx fpga的數(shù)字邏輯不僅僅有一種方法
    發(fā)表于 03-29 09:14

    Xilinx原語的使用方法

    Xilinx原語使用方法
    發(fā)表于 02-22 06:55

    vivadoIP core怎么用

    本實驗通過調(diào)用PLL IP core來學習PLL的使用、vivadoIP core使用方法。
    發(fā)表于 03-02 07:22

    Vivadoxilinx_courdic IP核怎么使用

    Vivadoxilinx_courdic IP核(求exp指數(shù)函數(shù))使用
    發(fā)表于 03-03 07:35

    Gowin DDS IP用戶指南

    Gowin? DDS IP 用戶指南主要內(nèi)容包括功能特點、端口描述、時序說明、配置調(diào)用、參考設計等。主要用于幫助用戶快速了解 DDS IP 的產(chǎn)品特性、特點及
    發(fā)表于 10-10 08:36

    Xilinx Vivado的使用詳細介紹(3):使用IP

    IP核(IP Core) Vivado中有很多IP核可以直接使用,例如數(shù)學運算(乘法器、除法器、浮點運算器等)、信號處理(FFT、DFT、DDS
    發(fā)表于 02-08 13:08 ?1947次閱讀
    <b class='flag-5'>Xilinx</b> <b class='flag-5'>Vivado</b>的使用詳細介紹(3):使用<b class='flag-5'>IP</b>核

    xilinx 原語使用方法

    xilinx 原語使用方法
    發(fā)表于 10-17 08:57 ?11次下載
    <b class='flag-5'>xilinx</b> 原語<b class='flag-5'>使用方法</b>

    xilinx原語使用方法

    xilinx原語使用方法
    發(fā)表于 10-19 08:50 ?15次下載
    <b class='flag-5'>xilinx</b>原語<b class='flag-5'>使用方法</b>

    Vivado將模塊封裝為IP方法介紹

    在給別人用自己的工程時可以封裝IP,Vivado用封裝IP的工具,可以得到像xilinxip一樣的可以配置參數(shù)的
    的頭像 發(fā)表于 06-26 11:33 ?7767次閱讀

    解析Vivado如何調(diào)用DDSIP進行仿真

    本次使用Vivado調(diào)用DDSIP進行仿真,并嘗試多種配置方式的區(qū)別,設計單通道信號發(fā)生器(固定頻率)、Verilog查表法實現(xiàn)DDS、AM調(diào)制解調(diào)、DSB調(diào)制解調(diào)、可編程控制的信號
    的頭像 發(fā)表于 04-27 16:33 ?6123次閱讀
    解析<b class='flag-5'>Vivado</b>如何調(diào)用<b class='flag-5'>DDS</b>的<b class='flag-5'>IP</b>進行仿真

    淺析VivadoIPDDS使用方式及注意事項

    vivado提供了DDS IP核可以輸出正余弦波形,配置方法如下
    的頭像 發(fā)表于 04-27 15:52 ?9678次閱讀
    淺析<b class='flag-5'>Vivado</b>的<b class='flag-5'>IP</b>核<b class='flag-5'>DDS</b>使用方式及注意事項

    關(guān)于XilinxDDS IP的運用與講解

    本次項目我們主要是為了講解DDS,所以我們使用了混頻這個小項目來講解。DDS自己手寫是比較簡單且靈活,但是Xilinx給我們提供了相應的IP核,那么這次我們將直接講解使用
    的頭像 發(fā)表于 04-27 16:00 ?6572次閱讀
    關(guān)于<b class='flag-5'>Xilinx</b>中<b class='flag-5'>DDS</b> <b class='flag-5'>IP</b>的運用與講解

    Xilinx-DCM的使用方法技巧

    Xilinx-DCM的使用方法技巧(長城電源技術(shù)(深圳有限公司)-該文檔為Xilinx-DCM的使用方法技巧講解文檔,是一份還算不錯的參考文檔,感興趣的可以參考參考,,,,,,,,,,
    發(fā)表于 09-28 12:46 ?12次下載
    <b class='flag-5'>Xilinx</b>-DCM的<b class='flag-5'>使用方法</b>技巧

    關(guān)于Ultra96的Xilinx DDS編譯器IP教程

    電子發(fā)燒友網(wǎng)站提供《關(guān)于Ultra96的Xilinx DDS編譯器IP教程.zip》資料免費下載
    發(fā)表于 12-13 10:17 ?1次下載
    關(guān)于Ultra96的<b class='flag-5'>Xilinx</b> <b class='flag-5'>DDS</b>編譯器<b class='flag-5'>IP</b>教程