0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

CoWoS先進(jìn)封裝是什么?

傳感器技術(shù) ? 來(lái)源:集微網(wǎng) ? 2023-07-31 12:49 ? 次閱讀

AI芯片需求強(qiáng)勁,臺(tái)積電 CoWoS先進(jìn)封裝產(chǎn)能吃緊,7月25日證實(shí)斥資900億元新臺(tái)幣在竹科銅鑼園區(qū)設(shè)立先進(jìn)封裝廠,預(yù)計(jì)2026年底建廠完成,2027年第三季開(kāi)始量產(chǎn)。究竟什么是“CoWoS”、與AI有何關(guān)聯(lián)、CoWoS供應(yīng)鏈有哪些?本文帶您了解!

CoWoS先進(jìn)封裝是什么?

CoWoS(Chip on Wafer on Substrate)是一種2.5D/3D封裝技術(shù),可以拆成兩部分來(lái)看,CoW(Chip on Wafer),指的是芯片堆疊,WoS(Wafer on Substrate)則是將堆疊的芯片封裝在基板上。

為何要用CoWoS?

CoWoS可以將CPUGPU、DRAM等各式芯片以并排方式(side-by-side)堆疊,有節(jié)省空間、減少功耗的優(yōu)勢(shì);另外,因?yàn)镃oWoS能將不同制程的芯片封裝在一起,可達(dá)到加速運(yùn)算但同時(shí)控制成本的目的,適用于AI 、GPU 等高速運(yùn)算芯片封裝。

臺(tái)積電CoWoS封裝十年磨一劍

CoWoS是臺(tái)積電獨(dú)門(mén)技術(shù),2012年即推出,不過(guò),由于成本昂貴,因而推出后除了賽靈思等少數(shù)客戶采用,之后便乏人問(wèn)津。

不過(guò)隨著AI熱潮引爆,臺(tái)積電CoWoS封裝技術(shù)也熬出頭,產(chǎn)能大爆發(fā),臺(tái)積電總裁魏哲家在本月20日法說(shuō)會(huì)上坦言,AI相關(guān)需求增加,預(yù)測(cè)未來(lái)五年內(nèi)將以接近50%的年平均成長(zhǎng)率成長(zhǎng),并占臺(tái)積電營(yíng)收約1成,臺(tái)積電也決定將資本支出中加重在CoWoS先進(jìn)封裝產(chǎn)能的建置,且是愈快愈好(As quickly as possible)!

為何CoWoS產(chǎn)能爆發(fā)?

隨著chatGPT橫空出世,生成式AI紅遍全球,帶動(dòng)AI芯片的需求強(qiáng)勁,英偉達(dá)(NVIDIA)的H100、A100全部由臺(tái)積電代工,并使用臺(tái)積電的CoWoS先進(jìn)封裝技術(shù),除了英偉達(dá)外,AMD MI300也導(dǎo)入CoWoS技術(shù),造成CoWoS產(chǎn)能供不應(yīng)求。

CoWoS擴(kuò)產(chǎn)進(jìn)度?

臺(tái)積電董事長(zhǎng)劉德音6月股東會(huì)透露,AI讓臺(tái)積電先進(jìn)封裝需求大增,被客戶要求增加產(chǎn)能,因此釋出部分高端封測(cè)訂單給專業(yè)封測(cè)代工廠,另外,希望在龍?zhí)稊U(kuò)張CoWoS產(chǎn)能,甚至把一些InFO產(chǎn)能挪到南科去。

本月25日臺(tái)積電也證實(shí)拿下竹科銅鑼基地,消息人士透露,關(guān)鍵是臺(tái)積電總裁魏哲家親自致電已取得租地權(quán)的力積電董事長(zhǎng)黃崇仁,黃崇仁考慮短期內(nèi)尚無(wú)興建第二座新廠需求、且無(wú)競(jìng)爭(zhēng)關(guān)系,同意釋出土地,成全臺(tái)積電擴(kuò)建需求。

臺(tái)積電先進(jìn)封裝廠規(guī)劃:

新竹竹科

臺(tái)南南科(接收龍?zhí)禝nFO)

桃園龍?zhí)叮〝U(kuò)充CoWoS)

臺(tái)中中科

苗栗竹南

苗栗銅鑼(年底整地、2024年動(dòng)工)

編輯:黃飛

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • DRAM
    +關(guān)注

    關(guān)注

    40

    文章

    2282

    瀏覽量

    182965
  • 臺(tái)積電
    +關(guān)注

    關(guān)注

    43

    文章

    5535

    瀏覽量

    165700
  • cpu
    cpu
    +關(guān)注

    關(guān)注

    68

    文章

    10702

    瀏覽量

    209365
  • gpu
    gpu
    +關(guān)注

    關(guān)注

    27

    文章

    4591

    瀏覽量

    128144
  • CoWoS
    +關(guān)注

    關(guān)注

    0

    文章

    122

    瀏覽量

    10396
  • 先進(jìn)封裝
    +關(guān)注

    關(guān)注

    1

    文章

    338

    瀏覽量

    177

原文標(biāo)題:臺(tái)積電搶蓋CoWoS先進(jìn)封裝廠 一文整理CoWoS是什么、為何需求爆發(fā)?

文章出處:【微信號(hào):WW_CGQJS,微信公眾號(hào):傳感器技術(shù)】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    臺(tái)積電第六代CoWoS先進(jìn)封裝技術(shù)有望2023年投產(chǎn);中科大研制出新型硫化物高效光催化劑…

    據(jù)國(guó)外媒體報(bào)導(dǎo),目前正在沖刺先進(jìn)制程的晶圓代工龍頭臺(tái)積電,另外在另一項(xiàng)秘密武器先進(jìn)封裝的發(fā)展上也有所斬獲。而為了滿足市場(chǎng)上的需求,臺(tái)積電的新一代先進(jìn)
    的頭像 發(fā)表于 10-28 09:36 ?3297次閱讀

    新思科技Design Platform支持TSMC多裸晶芯片3D

    關(guān)鍵詞:CoWoS , WoW , 先進(jìn)封裝 新思科技(Synopsys)宣布,新思科技Design Platform全面支持TSMC WoW直接堆疊和 CoWoS
    發(fā)表于 10-27 22:14 ?429次閱讀

    CoWoS先進(jìn)封裝技術(shù)介紹 CoWoS-R技術(shù)主要特點(diǎn)分析

    CoWoS-R 技術(shù)的主要特點(diǎn)包括: 1)RDL interposer 由多達(dá) 6L 銅層組成,用于最小間距為 4um 間距(2um 線寬/間距)的布線。 2)RDL 互連提供良好的信號(hào)和電源完整性性能,路由線路的 RC 值較低,可實(shí)現(xiàn)高傳輸數(shù)據(jù)速率。
    發(fā)表于 07-26 11:27 ?1.6w次閱讀
    <b class='flag-5'>CoWoS</b><b class='flag-5'>先進(jìn)</b><b class='flag-5'>封裝</b>技術(shù)介紹 <b class='flag-5'>CoWoS</b>-R技術(shù)主要特點(diǎn)分析

    詳細(xì)介紹CoWoS-S的關(guān)鍵制造步驟

    人工智能正在蓬勃發(fā)展。每個(gè)人都想要更多的人工智能加速器,而主要的限制因素是將 5nm ASIC 和 HBM 組合在一起的 CoWoS 先進(jìn)封裝工藝,其產(chǎn)能容量不足導(dǎo)致 GPU 短缺,這種短缺將持續(xù)到明年第二季度。
    的頭像 發(fā)表于 07-28 10:20 ?1986次閱讀
    詳細(xì)介紹<b class='flag-5'>CoWoS</b>-S的關(guān)鍵制造步驟

    英偉達(dá)將取臺(tái)積電6成CoWoS產(chǎn)能?

    據(jù)臺(tái)媒電子時(shí)報(bào)報(bào)道,數(shù)月前英偉達(dá)AI GPU需求急速導(dǎo)致臺(tái)積電CoWoS先進(jìn)封裝產(chǎn)能?chē)?yán)重不足,近日臺(tái)積電總裁魏哲家坦言,先前與客戶電話會(huì)議,要求擴(kuò)大CoWoS產(chǎn)能。
    的頭像 發(fā)表于 08-09 09:35 ?1229次閱讀
    英偉達(dá)將取臺(tái)積電6成<b class='flag-5'>CoWoS</b>產(chǎn)能?

    英偉達(dá)GPU短缺影響AI服務(wù)器出貨量 臺(tái)積電加緊擴(kuò)產(chǎn)

    據(jù)消息人士透露,臺(tái)積電一直在為提高cowos先進(jìn)封裝能力,滿足英偉達(dá)ai芯片的供應(yīng)而努力,但目前的生產(chǎn)能力仍不足以滿足需求。消息人士還補(bǔ)充說(shuō),隨著cowos的生產(chǎn)量的增加,8月以后n
    的頭像 發(fā)表于 08-14 10:37 ?750次閱讀

    CoWoS先進(jìn)封裝產(chǎn)能吃緊,傳英偉達(dá)急找日月光協(xié)助

    日月光不評(píng)論單一客戶與訂單動(dòng)態(tài)。業(yè)界指出,英偉達(dá)的整個(gè)AI芯片結(jié)構(gòu)設(shè)計(jì)是最高商業(yè)秘密,唯有通過(guò)專業(yè)代工廠協(xié)助,才能避開(kāi)IDM提供晶圓代工與封測(cè)服務(wù)可能的機(jī)密外流風(fēng)險(xiǎn)。
    的頭像 發(fā)表于 08-25 10:57 ?661次閱讀

    臺(tái)積電先進(jìn)封裝客戶大追單加快擴(kuò)產(chǎn)明年月產(chǎn)能拉升120%

    臺(tái)積電對(duì)cowos先進(jìn)封裝設(shè)備相關(guān)生產(chǎn)能力附設(shè)問(wèn)題沒(méi)有進(jìn)行評(píng)論。業(yè)界相關(guān)人士分析說(shuō):“tsmc的5大顧客的接單表明,隨著ai應(yīng)用的廣泛普及,圖像處理裝置(gpu)和ai加速器等芯片需求將會(huì)爆發(fā),廣達(dá)、緯創(chuàng)、緯穎、英業(yè)達(dá)等ai服務(wù)
    的頭像 發(fā)表于 11-13 12:56 ?848次閱讀

    消息稱臺(tái)積電先進(jìn)封裝客戶大幅追單,2024年月產(chǎn)能擬拉升120%

    據(jù)報(bào)道,臺(tái)積電為了應(yīng)對(duì)上述5大顧客的需求,正在加快cowos先進(jìn)封裝生產(chǎn)能力的擴(kuò)充,預(yù)計(jì)明年月生產(chǎn)能力將比原來(lái)的目標(biāo)約增加20%,達(dá)到3.5萬(wàn)個(gè)。
    的頭像 發(fā)表于 11-13 14:50 ?649次閱讀
    消息稱臺(tái)積電<b class='flag-5'>先進(jìn)</b><b class='flag-5'>封裝</b>客戶大幅追單,2024年月產(chǎn)能擬拉升120%

    臺(tái)積電CoWoS先進(jìn)封裝產(chǎn)能目標(biāo)上調(diào),交貨周期縮短至10個(gè)月

    臺(tái)積電設(shè)定了提高推進(jìn)先進(jìn)封裝能力的目標(biāo),預(yù)計(jì)到2024年底,其CoWoS封裝產(chǎn)能將達(dá)到每月3.2萬(wàn)片,而到2025年底將進(jìn)一步增至每月4.4萬(wàn)片。
    的頭像 發(fā)表于 01-25 11:12 ?713次閱讀

    京元電成臺(tái)積電擴(kuò)產(chǎn)最大贏家,訂單量呈現(xiàn)倍數(shù)式爆炸性增長(zhǎng)

    關(guān)于具體業(yè)務(wù)情況,京元電并不對(duì)外評(píng)論。然而,總經(jīng)理張高薰在三月初一次訪談中表示,CoWoS先進(jìn)封裝產(chǎn)能短缺嚴(yán)重,已有大量訂單選擇外包。晶圓代工廠的擴(kuò)展對(duì)于京元電而言,是個(gè)巨大商機(jī)。
    的頭像 發(fā)表于 03-18 10:45 ?623次閱讀

    CoWoS先進(jìn)封裝產(chǎn)能吃緊,英偉達(dá)GPU供應(yīng)依舊受限

    英偉達(dá)占據(jù)全球AI GPU市場(chǎng)約80%的份額,根據(jù)集邦咨詢預(yù)測(cè),到2024年,臺(tái)積電CoWoS月產(chǎn)能有望增至4萬(wàn)片,并在明年底實(shí)現(xiàn)翻番。然而,隨著英偉達(dá)B100和B200芯片的問(wèn)世,單片硅中介層面積增大,CoWoS產(chǎn)能依然吃緊。
    的頭像 發(fā)表于 05-20 11:58 ?346次閱讀

    消息稱英偉達(dá)計(jì)劃將GB200提早導(dǎo)入面板級(jí)扇出型封裝

    為解決CoWoS先進(jìn)封裝產(chǎn)能緊張的問(wèn)題,英偉達(dá)正計(jì)劃將其GB200產(chǎn)品提前導(dǎo)入扇出面板級(jí)封裝(FOPLP)技術(shù),原計(jì)劃2026年的部署現(xiàn)提前至2025年。
    的頭像 發(fā)表于 05-22 11:40 ?1363次閱讀

    臺(tái)積電嘉義CoWoS廠施工暫停,疑似發(fā)現(xiàn)古遺跡

    近日,臺(tái)積電在中國(guó)臺(tái)灣嘉義科學(xué)園區(qū)規(guī)劃建設(shè)的兩座CoWoS先進(jìn)封裝廠的建設(shè)工作遭遇波折。原計(jì)劃中,第一座CoWoS廠已于今年5月動(dòng)工,進(jìn)行地質(zhì)勘探工作。然而,施工現(xiàn)場(chǎng)卻在6月初因發(fā)現(xiàn)疑
    的頭像 發(fā)表于 06-19 14:45 ?577次閱讀

    日月光:今年CoWoS先進(jìn)封裝營(yíng)收比預(yù)期增2.5億美元以上,積極布局海外產(chǎn)能

    來(lái)源:綜合 日月光投控6月26日召開(kāi)股東會(huì), 首席運(yùn)營(yíng)官(COO)吳田玉表示,到2025年AI先進(jìn)封裝需求持續(xù)強(qiáng)勁,今年AI相關(guān)CoWoS先進(jìn)封裝
    的頭像 發(fā)表于 06-27 15:03 ?265次閱讀