0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

EDA程序設(shè)計--搶答器

白茶茶668 ? 來源:白茶茶668 ? 作者:白茶茶668 ? 2023-08-01 01:02 ? 次閱讀

一、 設(shè)計要求

1.搶答器同時供4名選手或4個代表隊比賽,分別用4個按鈕S0~ S3表示。

2.設(shè)置一個系統(tǒng)清除和搶答控制開關(guān)rst,該開關(guān)由主持人控制。

3.搶答器具有鎖存與顯示功能。即選手按動按鈕,鎖存相應(yīng)的編號,并在LED數(shù)碼管上顯示,同時提示燈亮。選手搶答實行優(yōu)先鎖存,優(yōu)先搶答選手的編號一直保持到主持人將系統(tǒng)清除為止。

4. 搶答器具有定時搶答功能,且一次搶答的時間由主持人設(shè)定(如20秒)

5. 如果定時時間已到,無人搶答,本次搶答無效,系統(tǒng)報警并禁止搶答,定時顯示器上顯示20。

二、方案設(shè)計與論證

1、 概述

將該任務(wù)分成五個模塊進行設(shè)計,分別為:搶答器鑒別模塊、搶答器計時模塊、報警模塊、分頻模塊、譯碼模塊。

2、 搶答器鑒別模塊:

在這個模塊中主要實現(xiàn)搶答過程中的搶答功能,并能對超前搶答進行警告,還能記錄無論是正常搶答還是朝前搶答者的臺號,并且能實現(xiàn)當有一路搶答按鍵按下時,該路搶答信號將其余的搶答信號封鎖的功能。其中有四個搶答信號s0、s1、s2、s3;搶答狀態(tài)顯示信號states;搶答與警報時鐘信號clk2;系統(tǒng)復位信號rst;警報信號warm。

3、 搶答器計數(shù)模塊:

在這個模塊中主要實現(xiàn)搶答過程中的計時功能,在有搶答開始后進行20秒的倒計時,并且在20秒倒計時后無人搶答顯示超時并報警。其中有搶答時鐘信號clk1;系統(tǒng)復位信號rst;搶答使能信號start;無人搶答警報信號warn;計時中止信號stop;計時十位和個位信號tb,ta。

4、 報警模塊:

在這個模塊中主要實現(xiàn)搶答過程中的報警功能,當主持人按下控制鍵,有限時間內(nèi) 人搶答或是計數(shù)到時蜂鳴器開始報警,計數(shù)停止信號stop;狀態(tài)輸出信號alm;計數(shù)脈沖clk。

5、 譯碼模塊:

在這個模塊中主要實現(xiàn)搶答過程中將BCD碼轉(zhuǎn)換成7段的功能。

6、 分頻模塊:

在這個模塊中主要實現(xiàn)搶答過程中所需的時鐘信號。

7、 頂層文件:

在這個模塊中是對前五個模塊的綜合編寫的頂層文件。


wKgaomTH6RCAINjGAAH4p3UtbmA079.png

?

審核編輯:湯梓紅
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 搶答器
    +關(guān)注

    關(guān)注

    16

    文章

    243

    瀏覽量

    34318
  • eda
    eda
    +關(guān)注

    關(guān)注

    71

    文章

    2654

    瀏覽量

    172152
  • 程序設(shè)計
    +關(guān)注

    關(guān)注

    3

    文章

    261

    瀏覽量

    30315
收藏 人收藏

    評論

    相關(guān)推薦

    電子搶答器EDA設(shè)計與實現(xiàn)

    數(shù)字搶答器控制系統(tǒng)在現(xiàn)今許多工廠、學校和電視臺等單位所舉辦的各種知識競賽中起著不可替代的作用。基于EDA技術(shù)設(shè)計的電子搶答器,以其價格便宜、安全可靠、使用方便而受到了
    發(fā)表于 10-25 16:57 ?4183次閱讀

    求:搶答器控制模擬程序設(shè)計

    求:搶答器控制模擬程序設(shè)計題目:搶答器控制模擬程序設(shè)計任務(wù):用匯編語言模擬設(shè)計一搶答器工作的程序
    發(fā)表于 12-10 21:18

    求:搶答器控制模擬程序設(shè)計

    求:搶答器控制模擬程序設(shè)計題目:搶答器控制模擬程序設(shè)計任務(wù):用匯編語言模擬設(shè)計一搶答器工作的程序
    發(fā)表于 12-10 21:24

    搶答器程序

    搶答器程序。。。
    發(fā)表于 05-10 14:20

    基于51單片機的8路搶答器proteus仿真 匯編語言程序設(shè)計 精選資料分享

    硬件設(shè)計(末尾附文件)上一篇講了基于C語言的搶答器,下邊講一下基于匯編語言的搶答器。仿真圖:程序設(shè)計OK EQU 20H;搶答開始標志位RING EQU 22H;響鈴標志位DATA0
    發(fā)表于 07-19 09:30

    PLC搶答器設(shè)計

    本文介紹的PLC搶答器,思路清晰,程序設(shè)計易于理解,能準確、快速做出判斷,具有很強的實用性。PLC搶答器設(shè)計保證了競賽活動的合理、公平、公正進行。
    發(fā)表于 12-24 00:30 ?1.9w次閱讀
    PLC<b class='flag-5'>搶答器</b>設(shè)計

    基于單片機的綜合應(yīng)用程序搶答器【C語言】

    基于單片機的綜合應(yīng)用程序搶答器【C語言】,簡單的搶答器程序。
    發(fā)表于 01-06 14:18 ?41次下載

    簡單的八路搶答器程序

    八路搶答器的源程序,可以根據(jù)自己更改一下搶答效果
    發(fā)表于 01-12 18:28 ?27次下載

    搶答器protues仿真程序 51搶答器設(shè)計 搶答器程序prot

    搶答器protues仿真程序 51搶答器設(shè)計 搶答器程序protues仿真設(shè)計
    發(fā)表于 01-14 22:32 ?162次下載

    8路數(shù)字搶答器課程設(shè)計報告

    搶答器作為一種工具,已經(jīng)廣泛應(yīng)用于各種智力和知識競賽場合。本設(shè)計以八路智力競賽搶答器為基本概念,從實際應(yīng)用出發(fā),利用電子設(shè)計自動化( EDA)技術(shù),用數(shù)字、模擬電子器件設(shè)計具有擴充功能的搶答器
    發(fā)表于 11-15 17:39 ?45次下載

    搶答器plc梯形圖介紹(三路搶答器和四路搶答器

    本文為大家介紹一個三路搶答器和一個四路搶答器的PLC梯形圖。
    發(fā)表于 01-31 15:35 ?8w次閱讀
    <b class='flag-5'>搶答器</b>plc梯形圖介紹(三路<b class='flag-5'>搶答器</b>和四路<b class='flag-5'>搶答器</b>)

    搶答器multutsim12程序源代碼下載

    搶答器multutsim12程序源代碼下載
    發(fā)表于 07-30 09:20 ?18次下載

    基于51單片機的8路搶答器proteus仿真 匯編語言程序設(shè)計

    硬件設(shè)計(末尾附文件)上一篇講了基于C語言的搶答器,下邊講一下基于匯編語言的搶答器。仿真圖:程序設(shè)計OK EQU 20H ;搶答開始標志位
    發(fā)表于 11-23 09:06 ?30次下載
    基于51單片機的8路<b class='flag-5'>搶答器</b>proteus仿真 匯編語言<b class='flag-5'>程序設(shè)計</b>

    EDA程序設(shè)計搶答器

    設(shè)計要求1.搶答器同時供4名選手或4個代表隊比賽,分別用4個按鈕S0~ S3表示。2.設(shè)置一個系統(tǒng)清除和搶答控制開關(guān)rst,該開關(guān)由主持人控制。3.搶答器具有鎖存與顯示功能。即選手按動按鈕,鎖存相應(yīng)
    發(fā)表于 08-22 09:58 ?7次下載

    EDA程序設(shè)計搶答器方案設(shè)計與論證

    搶答器同時供4名選手或4個代表隊比賽,分別用4個按鈕S0~ S3表示。
    的頭像 發(fā)表于 08-22 10:34 ?1121次閱讀
    <b class='flag-5'>EDA</b><b class='flag-5'>程序設(shè)計</b>—<b class='flag-5'>搶答器</b>方案設(shè)計與論證