0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

這幾大關(guān)鍵詞,詮釋了意法半導(dǎo)體的汽車戰(zhàn)略布局

意法半導(dǎo)體中國(guó) ? 來源:未知 ? 2023-08-10 08:15 ? 次閱讀

點(diǎn)擊上方意法半導(dǎo)體中國(guó)”關(guān)注我們

????????

?文章來源:電子產(chǎn)品世界

近年來,全球新能源汽車市場(chǎng)持續(xù)升溫,各國(guó)政府對(duì)環(huán)境保護(hù)和減少碳排放的要求激發(fā)了全世界消費(fèi)者購(gòu)買新能源汽車的需求。中國(guó)也在新能源汽車領(lǐng)域持續(xù)發(fā)力,截止到2023年7月3日,中國(guó)新能源汽車產(chǎn)量累計(jì)達(dá)2000萬輛,新能源電動(dòng)車滲透率已經(jīng)超過30%;在國(guó)際上,中國(guó)汽車出口193.3萬輛,同比增長(zhǎng)80%,取代日本成為全球最大汽車出口國(guó)。

在新能源汽車駛?cè)氚l(fā)展的高速公路之際,《電子產(chǎn)品世界》有幸采訪到了新能源汽車領(lǐng)域上游供應(yīng)商之一的意法半導(dǎo)體汽車和分立器件產(chǎn)品部(ADG)戰(zhàn)略業(yè)務(wù)拓展總監(jiān)LUCA SARICA先生,他為大家分享了意法半導(dǎo)體對(duì)于新能源汽車領(lǐng)域的觀點(diǎn)、戰(zhàn)略布局和未來展望。

意法半導(dǎo)體汽車和分立器件產(chǎn)品部(ADG)戰(zhàn)略業(yè)務(wù)拓展總監(jiān)LUCA SARICA

汽車電動(dòng)化和智能化趨勢(shì)推高車用半導(dǎo)體市場(chǎng)滲透

EEPW今年第一季度意法半導(dǎo)體在汽車產(chǎn)品領(lǐng)域增長(zhǎng)迅速,ST認(rèn)為什么原因推動(dòng)汽車業(yè)務(wù)的快速增長(zhǎng)?

LUCA SARICA汽車電動(dòng)化和智能化趨勢(shì)推高車用半導(dǎo)體市場(chǎng)滲透率,同時(shí)傳統(tǒng)的汽車系統(tǒng)正在提高芯片采用率,這兩個(gè)因素導(dǎo)致汽車市場(chǎng)的需求強(qiáng)勁增長(zhǎng),符合過去幾年的趨勢(shì)預(yù)測(cè)。

ST的汽車電動(dòng)化和智能化戰(zhàn)略布局捷報(bào)頻傳。具體來說,在電動(dòng)化方面,我們已和85家客戶簽下了約130個(gè)碳化硅項(xiàng)目,其中約60%是汽車項(xiàng)目。ST預(yù)計(jì)2023年的SiC銷售收入將達(dá)到約12億美元,2025年實(shí)現(xiàn)20億美元,到2030 年,有望實(shí)現(xiàn)50億美元。傳統(tǒng)汽車電子市場(chǎng)仍然充滿活力,芯片普及率也在增加。在汽車智能化方面,SPC5汽車MCU系列依然是我們產(chǎn)品組合的制勝法寶。此外,我們最新的安全區(qū)平臺(tái)解決方案和電動(dòng)汽車專用MCU,以及車載充電系統(tǒng),也從多家汽車廠商贏得訂單。

在汽車電子市場(chǎng),客戶需求仍然遠(yuǎn)高于我們的產(chǎn)能,尤其對(duì)某些產(chǎn)品來說。未來幾年,在市場(chǎng)需求更加多樣化的同時(shí),我們新項(xiàng)目提速也將更好支撐市場(chǎng)需求。

在過去的十年中,整車芯片平均成本提高了一倍。在汽車市場(chǎng)電動(dòng)化和智能化大趨勢(shì)下,這一數(shù)字近年來迅速提高。隨著消費(fèi)者對(duì)汽車的功能性和安全性要求提高,傳統(tǒng)車用芯片的市場(chǎng)滲透率也在提高。

相較于過去,汽車增加了很多新功能和電動(dòng)設(shè)備,相同的傳統(tǒng)應(yīng)用需要更復(fù)雜、更多的芯片。在此背景下,作為半導(dǎo)體垂直整合制造商IDM,ST有能力更好地控制和優(yōu)化從工藝開發(fā)、芯片設(shè)計(jì)、制造、封裝、測(cè)試到銷售和技術(shù)支持的整個(gè)半導(dǎo)體價(jià)值鏈。

ST有自己的內(nèi)部晶圓廠和封測(cè)廠,繼續(xù)投資研發(fā)有競(jìng)爭(zhēng)力的專有技術(shù)和內(nèi)部產(chǎn)能,為客戶提供多種貨源和完整的供應(yīng)鏈?!?/span>

新能源汽車發(fā)展趨勢(shì):電動(dòng)化、智能化、服務(wù)化

EEPW如今新能源車企越來越多,傳統(tǒng)燃油車企業(yè)相比,他們對(duì)于芯片的需求有什么不同?

LUCA SARICA“如今,新的綠色低碳出行概念為許多造車新勢(shì)力進(jìn)入市場(chǎng)打開了大門,尤其是在中國(guó)。這些市場(chǎng)新玩家專注于新能源汽車,以電動(dòng)汽車為主。電動(dòng)汽車采用功率轉(zhuǎn)換系統(tǒng)驅(qū)動(dòng)和控制電機(jī),為動(dòng)力電池充電,并在車上轉(zhuǎn)換能量,這就需要在車內(nèi)使用大量的功率芯片,使用量比過去多很多。此外,傳統(tǒng)的燃油車以機(jī)械部件為主,現(xiàn)在,越來越多的機(jī)械部件正在被電子部件取代。綜合以上種種原因,新能源汽車中的電子元器件數(shù)量是傳統(tǒng)汽車的三倍之多。

此外,還有另一個(gè)更值得關(guān)注的變化,是由新能源汽車和消費(fèi)者的新出行習(xí)慣引起的變化。從簡(jiǎn)單交通工具向智能出行的轉(zhuǎn)變,開啟了與產(chǎn)品可靠性、質(zhì)量和安全性相關(guān)的各種選擇。新的出行趨勢(shì)和造車新勢(shì)力導(dǎo)致汽車供應(yīng)鏈出現(xiàn)了不可逆轉(zhuǎn)的變化。車企與一級(jí)和二級(jí)供應(yīng)商、第三方、軟件開發(fā)商和半導(dǎo)體供應(yīng)商直接互動(dòng),在汽車市場(chǎng)中發(fā)揮著重要作用。它們正在推動(dòng)車規(guī)半導(dǎo)體市場(chǎng)增長(zhǎng)、汽車品牌差異化,提升客戶的購(gòu)車熱情和品牌忠誠(chéng)度。

新能源汽車集成的數(shù)字服務(wù)范圍遠(yuǎn)遠(yuǎn)超出傳統(tǒng)汽車。這種新型的車有點(diǎn)類似于帶著輪子的智能手機(jī),通過以客戶為中心的方法、產(chǎn)品生命周期重新設(shè)計(jì)和數(shù)據(jù)驅(qū)動(dòng)的體驗(yàn)開創(chuàng)新的收入來源。隨著造車新勢(shì)力進(jìn)入市場(chǎng),汽車制造商尋求更多的價(jià)值鏈控制權(quán),獲得更多核心技術(shù),以及與包括芯片供應(yīng)商在內(nèi)的技術(shù)供應(yīng)商建立更直接的業(yè)務(wù)關(guān)系。汽車制造商希望利用在消費(fèi)市場(chǎng)學(xué)到的經(jīng)驗(yàn),并愿意與供應(yīng)商合作為下一代汽車平臺(tái)開發(fā)技術(shù)和硬件,這對(duì)于他們未來能否實(shí)現(xiàn)產(chǎn)品差異化和市場(chǎng)成功至關(guān)重要。隨著汽車從私家車發(fā)展到在智能城市環(huán)境中行駛的無人駕駛車和共享汽車服務(wù),汽車提供的服務(wù)數(shù)量將急劇增加。

ST的產(chǎn)品用于許多先進(jìn)的駕駛系統(tǒng),汽車制造商可以利用我們?cè)诎踩B接和傳感器技術(shù)方面的成功經(jīng)驗(yàn)構(gòu)建出行服務(wù)平臺(tái)。我們致力于讓車輛更安全、更環(huán)保、更互聯(lián);我們投資研制一種稱為FD-SOI(完全耗盡型絕緣體上硅)的集成嵌入式PCM非易失性存儲(chǔ)器相變存儲(chǔ)器的數(shù)字技術(shù),今天,集成嵌入式PCM的FD-SOI是制造ST Stellar平臺(tái)的關(guān)鍵技術(shù)。Stellar是一個(gè)統(tǒng)一的數(shù)字平臺(tái),可滿足車輛上云的全部需求,是開發(fā)軟件定義汽車SDV的基礎(chǔ)平臺(tái)。這些軟件定義汽車的算力需求是傳統(tǒng)汽車的十倍多,使用Stellar平臺(tái)可以實(shí)現(xiàn)安全、實(shí)時(shí)的虛擬化,避免應(yīng)用程序相互干擾。此外,創(chuàng)新的雙圖像存儲(chǔ)可實(shí)現(xiàn)高效的軟件無線更新OTA,支持配置PCM單元結(jié)構(gòu),在更新期間將內(nèi)存容量提高一倍,并顯著降低待機(jī)模式的功耗?!?/span>

汽車ADAS的三大挑戰(zhàn):安全性、算力、軟件復(fù)雜度

對(duì)于如今的新能源汽車來說,先進(jìn)駕駛員輔助系統(tǒng)(ADAS)可以說是每輛車的標(biāo)配,ST作為一家半導(dǎo)體公司,長(zhǎng)期為ADAS市場(chǎng)提供著高質(zhì)量的產(chǎn)品,對(duì)于ADAS領(lǐng)域自然也有著自己獨(dú)到的觀點(diǎn)和產(chǎn)品。

EEPW近幾年汽車ADAS領(lǐng)域十分熱門,但也發(fā)生了不少汽車ADAS功能引發(fā)的交通事故,大眾對(duì)于輔助駕駛前景的評(píng)價(jià)褒貶不一ST如何看待ADAS領(lǐng)域的未來發(fā)展?

LUCA SARICA“今天,自動(dòng)駕駛在特定市場(chǎng)和應(yīng)用領(lǐng)域被視為一種最佳技術(shù),例如,無人駕駛出租車。與此同時(shí),ADAS的市場(chǎng)熱度也越來越高,因?yàn)樗梢詤f(xié)助人類駕駛員預(yù)防事故或避免危險(xiǎn)行為或情況。在開發(fā)ADAS時(shí),汽車的所有功能都需要專門的資源和新的開發(fā)理念。因此,我們認(rèn)為ADAS仍將是車輛上的一個(gè)獨(dú)立的控制域,不太可能與其他控制域整合。

ADAS給開發(fā)者帶來很大挑戰(zhàn),嚴(yán)重影響了整個(gè)硬件的開發(fā)周期,主要原因有三個(gè):

?系統(tǒng)冗余、輔助駕駛功能的廣泛采用和自動(dòng)駕駛的引入進(jìn)一步提高了安全的重要性。汽車制造商采用系統(tǒng)冗余的情況越來越多,這意味著每個(gè)系統(tǒng)使用的芯片數(shù)量比以往更多,以最大限度地降低危險(xiǎn)事件的風(fēng)險(xiǎn);

?算法的計(jì)算量更大,這些算法要快速處理海量的傳感器數(shù)據(jù),作出決策或提供指引。算力提高后可確保系統(tǒng)能夠?qū)崟r(shí)獲取所需數(shù)據(jù),正確解釋數(shù)據(jù);

?軟件的復(fù)雜性提高,增加嵌入式功能,這些需求通常來自消費(fèi)市場(chǎng)。

ST始終將功能安全放在首位,從作為車輛安全關(guān)鍵節(jié)點(diǎn)的開發(fā)階段開始,即采用一個(gè)可靠的安全基礎(chǔ)平臺(tái)開發(fā)汽車芯片。為了完善ADAS器件的不足,ST提供ASIL-D級(jí)別的SPC5系列MCU。

當(dāng)然,ST的安全技術(shù)不止于ADAS,而是部署在軟件定義車輛SDV的所有關(guān)鍵節(jié)點(diǎn)。ST的Stellar數(shù)字平臺(tái)同樣增強(qiáng)了安全性。該平臺(tái)是一系列創(chuàng)新的MCU和SoC芯片,結(jié)合了可靠的基本安全保護(hù)功能與高計(jì)算性能和嵌入式硬件虛擬化管理功能。這些特性可以簡(jiǎn)化在同一處理器上集成多個(gè)供應(yīng)商的軟件,并優(yōu)化性能,降低功耗。Stellar面向未來的連接功能和高速以太網(wǎng)技術(shù),可以確保數(shù)據(jù)從不同傳感器和ECU高速、安全、可靠的傳輸?shù)街醒胗?jì)算單元和 ADAS?!?/span>

EEPW那么ST都有哪些ADAS相關(guān)的產(chǎn)品和解決方案?在實(shí)踐中得到了哪些具體的成果和應(yīng)用案例?

LUCA SARICA“我們支持客戶開發(fā)安全性更高的汽車,并提供各種高級(jí)駕駛輔助系統(tǒng)ADAS產(chǎn)品和解決方案,例如,雷達(dá)、影像傳感器、高性能 ADAS處理器、電源管理,以及自適應(yīng)照明系統(tǒng)等。我們與該領(lǐng)域主要的參與者合作,包括與ADAS視覺系統(tǒng)廠商MobilEye,開發(fā)先進(jìn)的ADAS技術(shù)產(chǎn)品,滿足安全關(guān)鍵型汽車解決方案對(duì)耐變性和性能的嚴(yán)格要求。

ST的產(chǎn)品范圍涵蓋典型的汽車遠(yuǎn)程信息處理架構(gòu),例如,GNSS車輛定位設(shè)備、車輛慣性監(jiān)測(cè)傳感器、碰撞檢測(cè)傳感器、安全的車間車路通信基礎(chǔ)設(shè)施 V2X連接解決方案。今天,ADAS和新的E/E架構(gòu)需要更大的算力,集成分布式傳感器和執(zhí)行器、實(shí)時(shí)數(shù)據(jù)通信、無線軟件更新OTA等。這些趨勢(shì)都帶來了提高系統(tǒng)復(fù)雜性、可靠性和安全性的多重挑戰(zhàn)。隨著汽車轉(zhuǎn)向新的區(qū)域控制架構(gòu),ADAS采用率正在快速提高。為此,ST的Stellar系列高性能多核汽車MCU將作為一個(gè)集成實(shí)時(shí)數(shù)據(jù)聚合、應(yīng)用虛擬化和零停機(jī)時(shí)間OTA軟件更新的硬件平臺(tái),滿足汽車品牌和合作伙伴的需求。

ST解決方案獨(dú)特之處在于,雙圖像存儲(chǔ)功能支持配置PCM單元結(jié)構(gòu),在軟件無線更新期間將內(nèi)存容量提高一倍,從而提供更高的效率和經(jīng)濟(jì)性。我們的產(chǎn)品和技術(shù)讓汽車制造商能夠在整個(gè)汽車生命周期內(nèi),通過數(shù)字化開發(fā)和增值服務(wù)為用戶提供穩(wěn)健和改進(jìn)的駕乘體驗(yàn)。與此同時(shí),ST擁有世界一流的知識(shí)經(jīng)驗(yàn),能夠有效地提高配電性能。為滿足市場(chǎng)日益提高的對(duì)配電的能效、診斷和智能需求,ST利用VIPower技術(shù)在高邊驅(qū)動(dòng)器、智能功率開關(guān)和電子熔絲中集成控制電路和功率級(jí),例如,STi2Fuse系列產(chǎn)品?!?/span>

車聯(lián)網(wǎng)與新能源汽車相結(jié)合,為多種服務(wù)打開了大門

車聯(lián)網(wǎng)是物聯(lián)網(wǎng)的延伸概念,它利用新一代信息和通信技術(shù)實(shí)現(xiàn)了車外與車內(nèi)、車與車、車與路、車與人以及車與服務(wù)平臺(tái)之間的全方位網(wǎng)絡(luò)連接。車聯(lián)網(wǎng)的目標(biāo)是提升汽車的智能化水平和自動(dòng)駕駛能力,構(gòu)建汽車和交通服務(wù)的新型業(yè)態(tài),以提高交通效率,改善駕乘體驗(yàn),并為用戶提供智能、舒適、安全、節(jié)能、高效的綜合服務(wù)。其中,網(wǎng)絡(luò)連接、汽車智能化和服務(wù)新業(yè)態(tài)是車聯(lián)網(wǎng)的三個(gè)核心要素。如今,國(guó)的車聯(lián)網(wǎng)已經(jīng)走過了起步階段,正在經(jīng)歷與5G深度融合的階段。ST作為一家老牌的半導(dǎo)體供應(yīng)商,自然也是將國(guó)車聯(lián)網(wǎng)的發(fā)展看在眼里,并推出了許多針對(duì)車聯(lián)網(wǎng)的產(chǎn)品和解決方案。

EEPWST都有哪些車聯(lián)網(wǎng)相關(guān)的解決方案?在實(shí)踐中得到了哪些具體的成果和應(yīng)用案例?

LUCA SARICA“車聯(lián)網(wǎng)與新能源汽車相結(jié)合是一個(gè)大趨勢(shì),在中國(guó)尤為明顯,而且發(fā)展非常快。車聯(lián)網(wǎng)主要是與配備ADAS、T-box和語音交互系統(tǒng)等許多其他功能的網(wǎng)聯(lián)車輛有關(guān)。網(wǎng)聯(lián)車輛為多種服務(wù)打開了大門,包括車隊(duì)管理或保險(xiǎn)服務(wù)(專用T-box)。最重要的是,網(wǎng)聯(lián)車輛允許車企通過應(yīng)用程序部署服務(wù),獲得巨大好處,并改善駕駛員和乘客的用車體驗(yàn),同時(shí)為汽車制造商開創(chuàng)新的重要的收入來源。在這個(gè)領(lǐng)域,ST提供多種技術(shù),例如,T-box專用處理器和高精度車輛定位GNSS系統(tǒng)。值得一提的是,ST的Stellar數(shù)字平臺(tái)聚焦汽車向軟件定義汽車SDV的轉(zhuǎn)型,使得網(wǎng)聯(lián)車輛能夠本地支持OTA無線更新功能,同時(shí)實(shí)時(shí)處理和管理與新服務(wù)和復(fù)雜軟件相關(guān)的大量數(shù)據(jù)。”

持續(xù)創(chuàng)新,擴(kuò)充產(chǎn)能,為中國(guó)客戶提供垂直整合的SiC價(jià)值鏈

EEPW我們注意到近期ST加強(qiáng)了SiC方向的投資和合作,SiC在汽車電子的應(yīng)用中什么獨(dú)特的優(yōu)勢(shì)?

LUCA SARICA“與傳統(tǒng)硅技術(shù)相比,SiC的功率處理性能更好,可以補(bǔ)充硅基芯片的不足,適用于汽車和工業(yè)領(lǐng)域。SiC的電壓處理能力和開關(guān)頻率高于硅材料,系統(tǒng)能效更高,開關(guān)速度更快,功率損耗更低,熱管理效率更強(qiáng)。總之,SiC器件可用于設(shè)計(jì)功率密度更高而尺寸更小的輕量化電源

SiC功率器件可用于電動(dòng)汽車的重要電源系統(tǒng),包括電驅(qū)逆變器、車載充電機(jī)和直流變壓系統(tǒng)。它也是充電站的理想選擇。我們于2004年推出了第一款SiC二極管,經(jīng)過幾年的技術(shù)研發(fā),2014年推出并量產(chǎn)碳化硅MOSFET,成為市場(chǎng)龍頭。今年,我們的SiC業(yè)務(wù)有望帶來約12億美元營(yíng)收。

此外,作為IDM,我們的目標(biāo)是到2024年,40%的SiC晶圓采用公司內(nèi)部生產(chǎn)的晶圓襯底。目前,ST在意大利和新加坡分別有SiC晶圓廠,我們正在意大利建設(shè)一個(gè)綜合性的8英寸SiC襯底制造廠,最近又剛剛宣布與三安光電合資在重慶建立一家新的8英寸SiC器件制造廠。這些投資將有力支持市場(chǎng)對(duì)汽車電動(dòng)化以及工業(yè)電源和能源應(yīng)用不斷增長(zhǎng)的需求。ST也在大力投資技術(shù)創(chuàng)新。隨著我們的第四代SiC MOSFET通過產(chǎn)前測(cè)試認(rèn)證,ST廣泛的SiC MOSFET、二極管和封裝將得到進(jìn)一步豐富,此外,全面創(chuàng)新的第五代SiC器件也已處于研發(fā)階段。

中國(guó)市場(chǎng)在ST的發(fā)展戰(zhàn)略中占有重要地位,在中國(guó)市場(chǎng)的滲透率,以及與主要市場(chǎng)參與者和原始設(shè)備制造商的長(zhǎng)期合作關(guān)系,給我們帶來巨大的競(jìng)爭(zhēng)優(yōu)勢(shì)。我們還利用技術(shù)和創(chuàng)新力,通過伙伴關(guān)系和業(yè)務(wù)合作,應(yīng)對(duì)中國(guó)市場(chǎng)上的新趨勢(shì)。我們最近公布了與三安光電成立合資企業(yè)的消息。結(jié)合晶圓合資廠、三安未來建設(shè)的8英寸SiC襯底廠、以及ST現(xiàn)有的深圳封測(cè)廠,ST將有能力為中國(guó)客戶提供一個(gè)完全垂直整合的SiC價(jià)值鏈?!?/span>

ST戰(zhàn)略目標(biāo):讓汽車更安全、更環(huán)保、更互聯(lián)

EEPW對(duì)于未來的汽車電子市場(chǎng),ST的戰(zhàn)略目標(biāo)是什么?都做了哪些布局?

LUCA SARICA我們汽車業(yè)務(wù)的長(zhǎng)遠(yuǎn)目標(biāo)是汽車變得更安全、更環(huán)保、更互聯(lián),同時(shí)改善汽車的功能,降低車輛總擁有成本。我們?cè)谄囯妱?dòng)化和數(shù)字化領(lǐng)域位居前列,并致力于保持在汽車創(chuàng)新領(lǐng)域的優(yōu)勢(shì)地位。在過去幾年中,我們針對(duì)新的汽車架構(gòu)和技術(shù)要求推出了同類一流的產(chǎn)品組合,推動(dòng)了公司整體營(yíng)收的增長(zhǎng)。我們預(yù)計(jì)ST將繼續(xù)拓展核心業(yè)務(wù),汽車業(yè)務(wù)是幫助我們實(shí)現(xiàn)2025-2027年200億以上美元收入目標(biāo)的重要?jiǎng)恿Α?/span>

下面我詳細(xì)解釋一下這個(gè)大目標(biāo)背后的戰(zhàn)略布局。今天,世界正處于從傳統(tǒng)燃油汽車向電動(dòng)汽車和網(wǎng)聯(lián)汽車的過渡階段,整車芯片成本持續(xù)增長(zhǎng),這一大趨勢(shì)為半導(dǎo)體供應(yīng)商帶來大量商機(jī)。如今,在一輛傳統(tǒng)汽車上,芯片成本約550美元,而在新出現(xiàn)的電動(dòng)汽車和軟件定義汽車上,芯片成本達(dá)到1300美元左右。作為一家銳意創(chuàng)新的汽車半導(dǎo)體公司,為支持客戶順利完成汽車電動(dòng)化和網(wǎng)絡(luò)化轉(zhuǎn)型,ST在電動(dòng)汽車和寬禁帶半導(dǎo)體(如碳化硅和最近的氮化鎵)等新技術(shù)和解決方案領(lǐng)域投入了大量資金。

今天,我們是汽車行業(yè)的領(lǐng)跑者,擁有市場(chǎng)先進(jìn)的解決方案,支持開發(fā)超高效的電動(dòng)汽車。我們同樣專注于汽車智能化,通過投資集成嵌入式PCM非易失性存儲(chǔ)器(相變存儲(chǔ)器)的FD-SOI技術(shù),支持汽車向軟件定義車輛的過渡。今天,F(xiàn)D-SOI和ePCM是ST Stellar平臺(tái)的關(guān)鍵制造技術(shù)。這款統(tǒng)一的MCU平臺(tái)解決了汽車對(duì)云連接、軟件定義汽車,以及實(shí)時(shí)算力的需求?!?/span> END

相關(guān)閱讀

?首亮相!意法半導(dǎo)體多合一動(dòng)力域控制器“拆招”電氣集成

?意法半導(dǎo)體攜手三安光電,推進(jìn)中國(guó)碳化硅生態(tài)系統(tǒng)發(fā)展

長(zhǎng)按二維碼關(guān)注,了解更多信息專業(yè)| 科技 | 有范 長(zhǎng)按關(guān)注意法半導(dǎo)體中國(guó)


原文標(biāo)題:這幾大關(guān)鍵詞,詮釋了意法半導(dǎo)體的汽車戰(zhàn)略布局

文章出處:【微信公眾號(hào):意法半導(dǎo)體中國(guó)】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • ST
    ST
    +關(guān)注

    關(guān)注

    32

    文章

    1120

    瀏覽量

    128551
  • 意法半導(dǎo)體
    +關(guān)注

    關(guān)注

    31

    文章

    3062

    瀏覽量

    108240

原文標(biāo)題:這幾大關(guān)鍵詞,詮釋了意法半導(dǎo)體的汽車戰(zhàn)略布局

文章出處:【微信號(hào):STMChina,微信公眾號(hào):意法半導(dǎo)體中國(guó)】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    中國(guó)信通院發(fā)布“2024云計(jì)算十大關(guān)鍵詞

    7月23日,由中國(guó)通信標(biāo)準(zhǔn)化協(xié)會(huì)主辦,中國(guó)信息通信研究院(簡(jiǎn)稱“中國(guó)信通院”)承辦的“2024可信云大會(huì)”在京召開。大會(huì)上,中國(guó)信通院正式發(fā)布“2024云計(jì)算十大關(guān)鍵詞”,中國(guó)信通院云計(jì)算與大數(shù)
    的頭像 發(fā)表于 08-02 08:28 ?405次閱讀
    中國(guó)信通院發(fā)布“2024云計(jì)算十<b class='flag-5'>大關(guān)鍵詞</b>”

    半導(dǎo)體與吉利汽車簽署SiC長(zhǎng)期供應(yīng)協(xié)議,共推新能源汽車創(chuàng)新

    近日,全球半導(dǎo)體行業(yè)的領(lǐng)軍企業(yè)半導(dǎo)體(簡(jiǎn)稱ST)與國(guó)內(nèi)汽車及新能源汽車制造巨頭吉利
    的頭像 發(fā)表于 06-07 18:12 ?2259次閱讀

    半導(dǎo)體與吉利汽車簽署長(zhǎng)期碳化硅供應(yīng)協(xié)議

    近日,半導(dǎo)體行業(yè)的佼佼者半導(dǎo)體(STMicroelectronics)與領(lǐng)先的汽車制造商吉利汽車
    的頭像 發(fā)表于 06-06 09:40 ?329次閱讀

    半導(dǎo)體推出汽車級(jí)慣性模塊

    半導(dǎo)體近日發(fā)布ASM330LHBG1汽車三軸加速度計(jì)和三軸陀螺儀模塊,并配套安全軟件庫(kù),為汽車
    的頭像 發(fā)表于 05-17 10:33 ?375次閱讀

    汽車半導(dǎo)體需求放緩,半導(dǎo)體調(diào)降2024營(yíng)收

    半導(dǎo)體公司在最新發(fā)布的2024年第一季度財(cái)報(bào)中顯示,由于汽車半導(dǎo)體市場(chǎng)的需求減弱及消費(fèi)電子產(chǎn)品的需求萎縮,公司不得不下調(diào)了全年的營(yíng)收預(yù)期
    的頭像 發(fā)表于 04-29 11:51 ?342次閱讀
    <b class='flag-5'>汽車</b><b class='flag-5'>半導(dǎo)體</b>需求放緩,<b class='flag-5'>意</b><b class='flag-5'>法</b><b class='flag-5'>半導(dǎo)體</b>調(diào)降2024營(yíng)收

    長(zhǎng)城汽車芯動(dòng)半導(dǎo)體半導(dǎo)體達(dá)成合作,穩(wěn)定SiC芯片供應(yīng)

    近日,長(zhǎng)城汽車公司旗下的芯動(dòng)半導(dǎo)體與全球知名的半導(dǎo)體企業(yè)半導(dǎo)體在深圳簽署
    的頭像 發(fā)表于 03-15 10:03 ?589次閱讀

    芯動(dòng)半導(dǎo)體半導(dǎo)體簽署碳化硅戰(zhàn)略合作協(xié)議

    近日,國(guó)內(nèi)領(lǐng)先的半導(dǎo)體企業(yè)芯動(dòng)半導(dǎo)體與國(guó)際知名半導(dǎo)體供應(yīng)商半導(dǎo)體成功簽署碳化硅(SiC)芯片
    的頭像 發(fā)表于 03-15 09:44 ?377次閱讀

    長(zhǎng)城汽車芯動(dòng)半導(dǎo)體半導(dǎo)體在深圳簽署戰(zhàn)略合作協(xié)議

    近日,長(zhǎng)城汽車芯動(dòng)半導(dǎo)體半導(dǎo)體在深圳簽署戰(zhàn)略合作協(xié)議,長(zhǎng)城
    的頭像 發(fā)表于 03-14 10:25 ?365次閱讀

    德州儀器、半導(dǎo)體發(fā)布悲觀指引

    的悲觀指引。據(jù)悉,兩大巨頭均表達(dá)對(duì)2024年工業(yè)和汽車芯片成長(zhǎng)的擔(dān)憂。德州儀器聲稱,目前半導(dǎo)體行業(yè)市場(chǎng)的形勢(shì)惡化,業(yè)績(jī)展望報(bào)告體現(xiàn)出環(huán)境的疲軟,客戶正在再平衡庫(kù)存。 而最近幾年重點(diǎn)仰賴工業(yè)和
    的頭像 發(fā)表于 01-29 11:24 ?440次閱讀

    半導(dǎo)體與致瞻科技就SiC達(dá)成合作!

    今日(1月18日),半導(dǎo)體在官微宣布,公司與聚焦于碳化硅(SiC)半導(dǎo)體功率模塊和先進(jìn)電力電子變換系統(tǒng)的中國(guó)高科技公司致瞻科技合作,為致瞻科技電動(dòng)
    的頭像 發(fā)表于 01-19 09:48 ?609次閱讀
    <b class='flag-5'>意</b><b class='flag-5'>法</b><b class='flag-5'>半導(dǎo)體</b>與致瞻科技就SiC達(dá)成合作!

    半導(dǎo)體與理想汽車共筑電動(dòng)汽車未來

    半導(dǎo)體(簡(jiǎn)稱ST),作為全球領(lǐng)先的半導(dǎo)體公司,服務(wù)于多重電子應(yīng)用領(lǐng)域,近日與新能源汽車領(lǐng)域的佼佼者理想
    的頭像 發(fā)表于 01-04 14:36 ?691次閱讀

    半導(dǎo)體與理想汽車簽署碳化硅長(zhǎng)期供貨協(xié)議助力800V平臺(tái)

    12 月 22 日消息,據(jù)半導(dǎo)體官微消息,該公司與理想汽車簽署一項(xiàng)碳化硅(SiC)長(zhǎng)期供貨協(xié)議。
    的頭像 發(fā)表于 12-24 10:35 ?719次閱讀

    半導(dǎo)體碳化硅助力理想汽車加速進(jìn)軍高壓純電動(dòng)車市場(chǎng)

    龍頭廠商 理想汽車 簽署一項(xiàng)碳化硅(SiC)長(zhǎng)期供貨協(xié)議。按照協(xié)議, 半導(dǎo)體將為理想汽車
    的頭像 發(fā)表于 12-22 08:20 ?499次閱讀
    <b class='flag-5'>意</b><b class='flag-5'>法</b><b class='flag-5'>半導(dǎo)體</b>碳化硅助力理想<b class='flag-5'>汽車</b>加速進(jìn)軍高壓純電動(dòng)車市場(chǎng)

    #2023,你的 FPGA 年度關(guān)鍵詞是什么? #

    FPGA 年度關(guān)鍵詞,我的想法是“標(biāo)準(zhǔn)化”;今年的工作中遇到了不少同事的issues,本身都是小問題或者很細(xì)節(jié)的東西但是卻反復(fù)出現(xiàn)問題,目前想到的最好的辦法是做好設(shè)計(jì)規(guī)則的標(biāo)準(zhǔn)化才能避免,不知道大家有沒有更好的建議?
    發(fā)表于 12-06 20:31

    引領(lǐng)工業(yè)數(shù)智化深刻變革,半導(dǎo)體正打造中國(guó)市場(chǎng)的戰(zhàn)略縱深

    歷著一場(chǎng)深刻的技術(shù)革命,半導(dǎo)體技術(shù)已成為推動(dòng)這一變革的核心動(dòng)力。 近日,在成功舉辦的2023半導(dǎo)體工業(yè)峰會(huì)上,
    的頭像 發(fā)表于 11-09 11:20 ?613次閱讀
    引領(lǐng)工業(yè)數(shù)智化深刻變革,<b class='flag-5'>意</b><b class='flag-5'>法</b><b class='flag-5'>半導(dǎo)體</b>正打造中國(guó)市場(chǎng)的<b class='flag-5'>戰(zhàn)略</b>縱深