0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

淺析后仿波形處理

全棧芯片工程師 ? 來源:全棧芯片工程師 ? 2023-08-12 10:02 ? 次閱讀

我們知道,Verdi橫空出世,大大加速了數(shù)字設(shè)計(jì)驗(yàn)證的debug的效率,verdi波形格式是fsdb,壓縮率高,逐步取代了VCD波形,但是有些芯片設(shè)計(jì)環(huán)節(jié)仍然需要VCD。比如功耗分析需要fsdb轉(zhuǎn)換成VCD,比如模擬仿真需要數(shù)字的VCD激勵(lì)波形。

5c867124-3850-11ee-9e74-dac502259ad0.jpg

VCD是不壓縮的文本格式,兼容性好,缺點(diǎn)是文件太大。后仿先寫出高壓縮比的FSDB文件,再轉(zhuǎn)成VCD。可指定轉(zhuǎn)換起止時(shí)間、結(jié)束時(shí)間。接下來介紹怎么轉(zhuǎn)。

1. 轉(zhuǎn)換全部信號(hào)

%fsdb2vcd verilog.fsdb -o output.vcd

2.轉(zhuǎn)換指定模塊內(nèi)的信號(hào)(命令需要指定-s),并指定轉(zhuǎn)換時(shí)間,時(shí)間默認(rèn)是ns,也可以帶單位s|ms|us|ns|ps|fs。-level 1是/system/i_cpu不包括子模塊的所有信號(hào),如果想得到/system/i_cpu及其子模塊的所有信號(hào),則需要-level 0

%fsdb2vcd verilog.fsdb -s /system/i_cpu -level 1 -bt 10 -et 100

3. 生成fsdb的summary,如下命令并沒轉(zhuǎn)換。

%fsdb2vcd verilog.fsdb -summary

除了Redhwak、Voltus看功耗外,使用PTPX工具也可以進(jìn)行代碼級(jí)功耗評(píng)估。PTPX工具需要使用SAIF對(duì)翻轉(zhuǎn)率進(jìn)行標(biāo)定,以得到相對(duì)準(zhǔn)確功耗。SAIF文件從仿真波形中提取時(shí)較為穩(wěn)妥,并且具有接近實(shí)際工作場(chǎng)景的翻轉(zhuǎn)率。fsdb2saif提取波形文件中信號(hào)翻轉(zhuǎn)率

1.轉(zhuǎn)換FSDB為SAIF

%fsdb2saif verilog.fsdb -o verilog.fsdb.saif

2. 指定時(shí)間轉(zhuǎn)換FSDB為SAIF

%fsdb2saif verilog.fsdb -bt 10ps -et 1000ps -o verilog.fsdb.saif

3. 指定轉(zhuǎn)換范圍

%fsdb2saif rtl.fsdb -s "/system/i_cpu" -o rtl.fsdb.saif

通常,$fsdbDumpvars(0, top)可以dump全部波形。






審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 轉(zhuǎn)換器
    +關(guān)注

    關(guān)注

    27

    文章

    8505

    瀏覽量

    145981
  • 芯片設(shè)計(jì)
    +關(guān)注

    關(guān)注

    15

    文章

    980

    瀏覽量

    54619
  • VCD
    VCD
    +關(guān)注

    關(guān)注

    0

    文章

    37

    瀏覽量

    34593
  • 仿真器
    +關(guān)注

    關(guān)注

    14

    文章

    1008

    瀏覽量

    83437
  • Verilog語言
    +關(guān)注

    關(guān)注

    0

    文章

    113

    瀏覽量

    8192

原文標(biāo)題:【景芯SoC培訓(xùn)】后仿波形處理

文章出處:【微信號(hào):全棧芯片工程師,微信公眾號(hào):全棧芯片工程師】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    感應(yīng)加熱設(shè)備用于焊處理效果清晰可見 #焊處理

    處理
    bcyk001
    發(fā)布于 :2023年09月07日 14:58:18

    高壓給水器焊處理#焊處理

    處理
    bcyk001
    發(fā)布于 :2023年09月28日 15:58:49

    請(qǐng)高手幫忙設(shè)計(jì)Labview 的聲音采集及處理 有模板可以來仿

    請(qǐng)高手幫忙設(shè)計(jì)Labview 的聲音采集及處理 有模板可以來仿 QQ376300509 電話:*** 急!
    發(fā)表于 04-24 12:39

    波形處理

    用板卡采集了脈搏波形, 波形如圖?,F(xiàn)在想通過對(duì)脈搏波形處理,將心率數(shù)字化顯示出來,我想法是想得出兩個(gè)波峰之間的時(shí)間間隔換算,或者是測(cè)出10
    發(fā)表于 03-11 23:12

    仿出現(xiàn)了10種警告。。。求助

    仿出現(xiàn)了x態(tài),前仿過了?,F(xiàn)在還沒帶sdf呢,考慮是異常的warning,但我警告太多了。。。有的警告有一百多個(gè),截圖如下。各位大神幫忙看下,是哪種警告讓我
    發(fā)表于 05-30 16:10

    labview2012與simulink通過SIT聯(lián)仿信號(hào)處理部分的問題

    labview2012與simulink通過SIT聯(lián)仿,輸出信號(hào)波形時(shí)域是正確的,但是進(jìn)行頻譜變換沒有結(jié)果。另外通過濾波器好像也遇到貌似是采樣率的問題,可能是采樣率歸一化?希望知道的朋友可以幫忙解答一下。
    發(fā)表于 05-10 11:32

    一款仿捕獸器的大功率級(jí)電路相關(guān)資料分享

    一款仿捕獸器的大功率級(jí)電路相關(guān)資料分享
    發(fā)表于 05-24 07:33

    怎樣用calibreview格式提取參數(shù)做仿?有哪幾種方法

    做pex時(shí)選擇了calibreview格式,然后生成了一個(gè)叫做calibre的cell view。之后關(guān)于怎么做仿從資料中找到了兩種方法。第一種是直接在這個(gè)calibre的cell view中進(jìn)
    發(fā)表于 06-24 07:08

    Labview之信號(hào)傳遞波形

    Labview之信號(hào)傳遞波形,很好的Labview資料,快來下載學(xué)習(xí)吧。
    發(fā)表于 04-19 10:56 ?0次下載

    saber仿真軟件波形如何處理分析、saber仿真軟件如何畫電路圖

     saber仿真電路最主要的就是看電路某些點(diǎn)的電壓電流波形,當(dāng)仿真,得到波形了,波形如何處理才更好得分析電路呢?下面介紹下。
    發(fā)表于 12-08 11:37 ?2.4w次閱讀
    saber仿真軟件<b class='flag-5'>波形</b>如何<b class='flag-5'>處理</b>分析、saber仿真軟件如何畫電路圖

    淺析換熱器內(nèi)漏的原因及處理工藝

    淺析換熱器內(nèi)漏的原因及處理工藝
    發(fā)表于 02-11 10:51 ?1次下載

    淺析快速處理導(dǎo)熱油管腐蝕滲漏的方法

    淺析快速處理導(dǎo)熱油管腐蝕滲漏的方法
    發(fā)表于 02-15 09:33 ?2次下載

    spwm波形是什么 spwm波形的實(shí)現(xiàn)方法 生成spwm波形的實(shí)現(xiàn)方法

    基于數(shù)字信號(hào)處理器(DSP)實(shí)現(xiàn)方法:使用DSP控制SPWM波形產(chǎn)生器,將參考正弦波信號(hào)和三角波信號(hào)輸入DSP中,經(jīng)過相關(guān)的算法處理輸出PWM波形
    發(fā)表于 05-02 10:52 ?7640次閱讀

    淺析測(cè)力傳感器的兩種處理方式

    淺析測(cè)力傳感器的兩種處理方式
    的頭像 發(fā)表于 12-20 17:31 ?858次閱讀
    <b class='flag-5'>淺析</b>測(cè)力傳感器的兩種<b class='flag-5'>處理</b>方式

    波形編碼是?常見的波形編碼方法主要包括哪些?

    波形編碼是基于對(duì)語音信號(hào)波形的數(shù)字化處理,試圖使處理重建的語音信號(hào)波形與原語音信號(hào)
    的頭像 發(fā)表于 05-01 17:17 ?1863次閱讀
    <b class='flag-5'>波形</b>編碼是?常見的<b class='flag-5'>波形</b>編碼方法主要包括哪些?