0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

DDR3緩存模塊仿真平臺(tái)構(gòu)建步驟

FPGA快樂學(xué)習(xí) ? 來源:FPGA快樂學(xué)習(xí) ? 2023-08-12 11:08 ? 次閱讀

1. 復(fù)制Vivado工程路徑vivado_prjat7.srcssources_1ipmig_7series_0下的mig_7series_0文件夾。粘貼到仿真路徑testbench b_ddr3_cache(新建用于DDR3仿真的文件夾)下。

2. 拷貝一個(gè)glbl.v文件到testbench b_ddr3_cachemig_7series_0example_designsim文件夾下。

3. 打開testbench b_ddr3_cachemig_7series_0example_designsim文件夾下的sim.do文件中。進(jìn)行編譯的文件路徑需要做移植修改。

將vlib work以及后面的內(nèi)容刪除

復(fù)制下面的腳本到sim.do中。

vlib work

vmap work work

vlog -work workglbl.v

#Compile all modules#

vlog../../../../../design/m_ddr3_cache.v

vlog../../../../../vivado_prj/at7.srcs/sources_1/ip/fifo_ddr3_write/fifo_ddr3_write_sim_netlist.v

vlog../rtl/traffic_gen/mig_7series*.v

vlog../rtl/example_top.v

vlog ../../user_design/rtl/mig_7series_0.v

vlog../../user_design/rtl/mig_7series_0_mig_sim.v

vlog -incr../../user_design/rtl/clocking/*.v

vlog -incr../../user_design/rtl/controller/*.v

vlog -incr../../user_design/rtl/ecc/*.v

vlog -incr../../user_design/rtl/ip_top/*.v

vlog -incr../../user_design/rtl/phy/*.v

vlog -incr../../user_design/rtl/ui/*.v

#Compile files in sim folder (excluding model parameterfile)#

vlog *.vh

vlog *.sv

vlog *.v

#Pass the parametersfor memory model parameter file#

vlog -sv +define+x2Gb+define+sg15E +define+x16 ddr3_model.sv

#Load the design. Userequired libraries.#

vsim -voptargs=+acc-L unisims_ver -L unisim -L work -Lfunisims_ver +notimingchecks -Lsecureipwork.glblwork.sim_tb_top

add wavesim:/sim_tb_top/uut_m_ddr3_cache/*

4. 打開testbench b_ddr3_cachemig_7series_0example_designsim文件夾下的sim_tb_top.v文件(測(cè)試腳本),進(jìn)行必要的修改,將用戶設(shè)計(jì)移植到這個(gè)測(cè)試腳本中。

example_top模塊的例化可以用用戶設(shè)計(jì)模塊替代,接口一一映射即可。

5. 打開modelsim,點(diǎn)擊菜單Compile--> Compile options,修改Verilog &SystemVerilog下,勾選Use SystemVerilog選項(xiàng)。

6. 打開run_simulation.bat文件,增加新的仿真自動(dòng)運(yùn)行項(xiàng)。

@echo off

@cls

title FPGA Auto Simulation batch script

echo ModelSim simulation

echo.

echo Press '1' to start tb_pll simulation

echo.

echo Press '2' to start tb_fifo_img simulation

echo.

echo Press '3' to start tb_image_capture simulation

echo.

echo Press '4' to start tb_fifo_ddr3_write simulation

echo.

echo Press'5' to start tb_ddr3_cache simulation

echo.

:input

set INPUT=

set /P INPUT=Type test number: %=%

if "%INPUT%"=="1" goto run1

if "%INPUT%"=="2" goto run2

if "%INPUT%"=="3" goto run3

if "%INPUT%"=="4" goto run4

if"%INPUT%"=="5" goto run5

goto end

:run1

@cls

echo Start tb_pll Simulation;

echo.

echo.

cd testbench/tb_pll

vsim -do "do compile.do"

gotoclean_workspace

:run2

@cls

echo Start tb_fifo_img Simulation;

echo.

echo.

cd testbench/tb_fifo_img

vsim -do "do compile.do"

gotoclean_workspace

:run3

@cls

echo Start tb_image_capture Simulation;

echo.

echo.

cd testbench/tb_image_capture

vsim -do "do compile.do"

gotoclean_workspace

:run4

@cls

echo Start tb_fifo_ddr3_write Simulation;

echo.

echo.

cd testbench/tb_fifo_ddr3_write

vsim -do "do compile.do"

gotoclean_workspace

:run5

@cls

echo Starttb_ddr3_cache Simulation;

echo.

echo.

cdtestbench/tb_ddr3_cache/mig_7series_0/example_design/sim

vsim -do"do sim.do"

gotoclean_workspace

:clean_workspace

rmdir /S /Q work

del vsim.wlf

del transcript.

:end






審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • DDR3
    +關(guān)注

    關(guān)注

    2

    文章

    273

    瀏覽量

    42081
  • 緩存器
    +關(guān)注

    關(guān)注

    0

    文章

    63

    瀏覽量

    11624
  • FIFO存儲(chǔ)
    +關(guān)注

    關(guān)注

    0

    文章

    103

    瀏覽量

    5946
  • MODELSIM仿真
    +關(guān)注

    關(guān)注

    0

    文章

    15

    瀏覽量

    7264
  • Verilog語言
    +關(guān)注

    關(guān)注

    0

    文章

    113

    瀏覽量

    8192

原文標(biāo)題:Verilog邊碼邊學(xué) Lesson47 圖像采集與顯示設(shè)計(jì)之DDR3緩存模塊仿真平臺(tái)構(gòu)建【免費(fèi)視頻】

文章出處:【微信號(hào):FPGA快樂學(xué)習(xí),微信公眾號(hào):FPGA快樂學(xué)習(xí)】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    DDR3、4拓?fù)?b class='flag-5'>仿真

    DDR3DDR
    電子學(xué)習(xí)
    發(fā)布于 :2022年12月07日 22:34:02

    xilinx平臺(tái)DDR3設(shè)計(jì)教程

    看完保證你會(huì)做DDR3仿真
    發(fā)表于 09-18 14:33

    如何自建仿真DDR3

    自建Spartan6 DDR3仿真平臺(tái)
    發(fā)表于 08-01 06:08

    xilinx平臺(tái)DDR3設(shè)計(jì)教程之仿真

    xilinx平臺(tái)DDR3設(shè)計(jì)教程之仿真
    發(fā)表于 03-12 08:54

    什么是全數(shù)字仿真平臺(tái)

    全數(shù)字仿真平臺(tái)作為工業(yè)領(lǐng)域不可缺少的重要軟件之一,除了可以與MATLAB或者Simulink集成外,還支持哪些優(yōu)秀的功能?在了解新一代全數(shù)字仿真平臺(tái)SkyEye之前,先來學(xué)習(xí)一下什么是全數(shù)字仿真平臺(tái)
    發(fā)表于 12-17 07:48

    什么是全數(shù)字仿真平臺(tái)

    全數(shù)字仿真平臺(tái)作為工業(yè)領(lǐng)域不可缺少的重要軟件之一,除了可以與MATLAB或者Simulink集成外,還支持哪些優(yōu)秀的功能?在了解新一代全數(shù)字仿真平臺(tái)SkyEye之前,先來學(xué)習(xí)一下什么是全數(shù)字仿真平臺(tái)
    發(fā)表于 12-21 06:42

    OBS系統(tǒng)仿真平臺(tái)構(gòu)建及分析

    分析了光突發(fā)交換網(wǎng)絡(luò)核心交換節(jié)點(diǎn)的基本交換結(jié)構(gòu)、交換控制模塊的主要功能以及核心交換模塊的構(gòu)成;對(duì)光突發(fā)交換系統(tǒng)進(jìn)行了仿真平臺(tái)構(gòu)建,討論了系統(tǒng)仿真
    發(fā)表于 03-08 18:06 ?15次下載

    Quamtum-SI DDR3仿真解析

    Quamtum-SI DDR3仿真解析 Automated DDR3 Analysis  
    發(fā)表于 04-29 09:00 ?4413次閱讀
    Quamtum-SI <b class='flag-5'>DDR3</b><b class='flag-5'>仿真</b>解析

    xilinx平臺(tái)DDR3設(shè)計(jì)教程之仿真篇_中文版教程

    用ise工具調(diào)用DDR3 IP核教程,內(nèi)容非常的詳細(xì)
    發(fā)表于 11-20 11:56 ?0次下載

    基于硬件加速的實(shí)時(shí)仿真平臺(tái)構(gòu)建技術(shù)

    基于硬件加速的實(shí)時(shí)仿真平臺(tái)構(gòu)建技術(shù)_孔璐
    發(fā)表于 01-03 17:41 ?1次下載

    基于SystemC構(gòu)建多核DSP軟件仿真平臺(tái)

    基于SystemC構(gòu)建多核DSP軟件仿真平臺(tái)_韋祎
    發(fā)表于 01-03 17:41 ?3次下載

    ddr4和ddr3內(nèi)存的區(qū)別,可以通用嗎

    雖然新一代電腦/智能手機(jī)用上了DDR4內(nèi)存,但以往的產(chǎn)品大多還是用的DDR3內(nèi)存,因此DDR3依舊是主流,DDR4今后將逐漸取代DDR3,成
    發(fā)表于 11-08 15:42 ?3.1w次閱讀

    基于FPGA的DDR3多端口讀寫存儲(chǔ)管理的設(shè)計(jì)與實(shí)現(xiàn)

    為了解決視頻圖形顯示系統(tǒng)中多個(gè)端口訪問DDR3的數(shù)據(jù)存儲(chǔ)沖突,設(shè)計(jì)并實(shí)現(xiàn)了基于FPGA的DDR3存儲(chǔ)管理系統(tǒng)。DDR3存儲(chǔ)器控制模塊使用MIG生成D
    發(fā)表于 11-18 18:51 ?6837次閱讀
    基于FPGA的<b class='flag-5'>DDR3</b>多端口讀寫存儲(chǔ)管理的設(shè)計(jì)與實(shí)現(xiàn)

    簡述 Cyclone 10 GX DDR3 設(shè)計(jì)的步驟

    Cyclone 10 GX DDR3 示例設(shè)計(jì)的步驟
    的頭像 發(fā)表于 06-20 00:12 ?5982次閱讀
    簡述 Cyclone 10 GX <b class='flag-5'>DDR3</b> 設(shè)計(jì)的<b class='flag-5'>步驟</b>

    DDR3DDR4的設(shè)計(jì)與仿真學(xué)習(xí)教程免費(fèi)下載

    DDR3 SDRAM是DDR3的全稱,它針對(duì)Intel新型芯片的一代內(nèi)存技術(shù)(但目前主要用于顯卡內(nèi)存),頻率在800M以上。DDR3是在DDR2基礎(chǔ)上采用的新型設(shè)計(jì),與
    發(fā)表于 10-29 08:00 ?0次下載
    <b class='flag-5'>DDR3</b>和<b class='flag-5'>DDR</b>4的設(shè)計(jì)與<b class='flag-5'>仿真</b>學(xué)習(xí)教程免費(fèi)下載