0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA RAM簡介和使用案例

CHANBAEK ? 來源:工程實(shí)驗(yàn)室 ? 作者:工程實(shí)驗(yàn)室 ? 2023-08-22 16:12 ? 次閱讀

FPGA 邏輯設(shè)計(jì)中經(jīng)常用到的數(shù)據(jù)存儲方式有ROMRAM和FIFO,根據(jù)不同的應(yīng)用場景選擇不同的存儲方式。Xilinx 平臺三種存儲方式在使用過程中的區(qū)別如下:

1、ROM按照地址讀寫,使用初始化.ceo文件將地址和對應(yīng)的數(shù)據(jù)內(nèi)容存入,讀數(shù)據(jù)的時(shí)候給地址,輸出地址中存儲的數(shù)據(jù)。支持反復(fù)讀取,讀取過程中不會使數(shù)據(jù)減少;

2、RAM按照地址讀寫數(shù)據(jù),按照指定的地址寫入數(shù)據(jù),讀數(shù)據(jù)的時(shí)候給地址,輸出地址中存儲的數(shù)據(jù),支持反復(fù)讀取,讀取過程中不會使數(shù)據(jù)減少;

3、FIFO沒有地址參與,先寫入的數(shù)據(jù)被先讀出,就是先進(jìn)先出,讀取數(shù)據(jù)的過程中讀一個(gè)少一個(gè),就像雞蛋放在籃子中取出一個(gè)少一個(gè)。

01 RAM簡介

RAM,random access memory,是隨機(jī)存取存儲器的縮寫,掉電后數(shù)據(jù)丟失。 這里使用簡單雙端口RAM舉例,即端口A寫數(shù)據(jù),端口B讀數(shù)據(jù)。

圖片

端口A寫入數(shù)據(jù)的過程中WEA==1'b1 && ENA==1'b1,條件同時(shí)滿足的時(shí)候,DINA的數(shù)據(jù)被寫入到指定的內(nèi)存地址中。

圖片

端口B讀出數(shù)據(jù)的時(shí)候,讀使能和讀地址同時(shí)有效,讀出數(shù)據(jù)需要延遲一個(gè)時(shí)鐘周期。

圖片

1.1、vivado中添加RAM-IP核

step1:在ip-catalog中搜索ram,找到 block memory generator

圖片

step2:在ip核配置

圖片

step3:端口A設(shè)置(寫入數(shù)據(jù)位寬和深度)

圖片

step4:端口B設(shè)置(注意細(xì)節(jié))

圖片

step5:其他設(shè)置

圖片

02 RAM使用案例

2.1、簡單雙端口RAM使用案例

簡單雙端口RAM使用的案例有1、數(shù)據(jù)緩沖-實(shí)現(xiàn)位寬轉(zhuǎn)化;2、對應(yīng)連續(xù)待處理的數(shù)據(jù)流使用乒乓RAM,實(shí)現(xiàn)數(shù)據(jù)流不間斷的輸入到處理模塊。本文主要對乒乓RAM做一個(gè)詳細(xì)介紹和測試應(yīng)用。

圖片

2.2、乒乓RAM讀寫時(shí)序設(shè)計(jì)

乒乓RAM讀寫時(shí)序設(shè)計(jì)波形圖中讀寫時(shí)鐘使用了相同的時(shí)鐘信號,當(dāng)讀寫數(shù)據(jù)的時(shí)鐘不同時(shí),就是異步乒乓RAM。首先對RAMA寫入數(shù)據(jù),按地址寫入數(shù)據(jù)結(jié)束以后讀取RAMA的數(shù)據(jù)給數(shù)據(jù)處理模塊,同時(shí)將外部輸入的數(shù)據(jù)緩存到RAMB中,保證同一時(shí)間內(nèi)既有數(shù)據(jù)緩存又有數(shù)據(jù)輸出,實(shí)現(xiàn)的效果就是外部不間斷地輸入數(shù)據(jù),經(jīng)過RAM處理以后不間斷地輸出到下一級處理模塊。

圖片

圖2-2-1、乒乓RAM讀寫時(shí)序設(shè)計(jì)

2.3、代碼實(shí)現(xiàn)

根據(jù)時(shí)序設(shè)計(jì)波形圖2-2-1,編寫邏輯代碼,
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company: 
// Engineer: 
// 
// Create Date: 2022/01/08 19:19:47
// Design Name: 
// Module Name: pingpang_ram
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//////////////////////////////////////////////////////////////////////////////////
module pingpang_ram(
input      wire                sclk,
input      wire                async_rst_n,   
input      wire                wr_valid, 
input      wire [7:0]          data_in,
output     wire [7:0]          data_out
    );


//  信號定義 
localparam                  ADDR_MAX                  =              1024       -        1;  
// rama   
reg                        wr_en_a;
reg  [9  :  0]             wr_addr_a;           // 寫地址  
reg                        rd_en_a;
reg  [9  :  0]             rd_addr_a;           // 讀地址  
wire [7  :  0]             rd_data_a;      
reg                        wr_en_a_dly;
// ramb  
reg                        wr_en_b;
reg  [9  :  0]             wr_addr_b;           // 寫地址  
reg                        rd_en_b;
reg  [9  :  0]             rd_addr_b;           // 讀地址  
wire [7  :  0]             rd_data_b;     
//  
wire   sync_rst_n; 
reg    sync_rst_n1; 
reg    sync_rst_n2; 


assign      sync_rst_n           =              sync_rst_n2 ;
assign    data_out               =              (wr_en_a_dly   ==  1'b0 )  ?     rd_data_a    :    rd_data_b;         // 符合條件后---立即響應(yīng) 


// 異步復(fù)位,同步釋放,異步復(fù)位信號,同步處理
always@(posedge sclk or negedge async_rst_n)   begin 
    if(!async_rst_n)   begin 
    sync_rst_n1    <=     1'b0;                             //  復(fù)位開始的時(shí)候 wr_en 就開始有效    
    sync_rst_n2    <=     1'b0;     
    end  
    else  begin 
    sync_rst_n1    <=       1'b1;   
    sync_rst_n2    <=       sync_rst_n1;  
     end 
end  
//  wr_en   
always@(posedge sclk or negedge sync_rst_n)   begin 
    if(!sync_rst_n)   begin 
    wr_en_a   <=     1'b0;                                
    end  
    else if (wr_valid  ==   1'b1   )  begin 
    wr_en_a     <=       1'b1;     
    end    
    else if(wr_addr_a   ==   ADDR_MAX)   begin  
    wr_en_a     <=      1'b0;
    end 
    else if(rd_addr_a   ==   ADDR_MAX)   begin  
    wr_en_a     <=      1'b1;
    end   
end 


//   寫地址信號  
always@(posedge sclk or negedge sync_rst_n)   begin 
     if(!sync_rst_n)   begin 
     wr_addr_a     <=      16'd0;                          
     end  
     else if(wr_addr_a   ==   ADDR_MAX) begin
     wr_addr_a     <=       16'd0;       
     end       
     else if(wr_en_a   ==  1'b1 )  begin                    
     wr_addr_a     <=    wr_addr_a      +     1'b1;
     end 
end 
//   讀使能信號  
always@(posedge sclk or negedge sync_rst_n)   begin 
     if(!sync_rst_n)   begin 
      rd_en_a          <=            1'b0;                          
     end  
     else if(wr_addr_a   ==   ADDR_MAX) begin                    
      rd_en_a          <=            1'b1;    
     end 
      else if (rd_addr_a    ==     ADDR_MAX) begin                  
      rd_en_a          <=            1'b0;     
     end 
end 
always@(posedge sclk or negedge sync_rst_n)   begin 
      wr_en_a_dly          <=            wr_en_a;                          
     end      
//   讀地址信號  
always@(posedge sclk  or  negedge sync_rst_n)   begin 
    if(!sync_rst_n)   begin 
      rd_addr_a          <=     10'd0;                             
    end  
    else if(rd_addr_a   ==  ADDR_MAX) begin
      rd_addr_a          <=         10'd0;  
    end      
    else if(rd_en_a    ==     1'b1)   begin                         
      rd_addr_a         <=     rd_addr_a          +        1'b1;
    end 
end 
// ---------RAMB   
always@(posedge sclk or negedge sync_rst_n)   begin 
    if(!sync_rst_n)   begin 
    wr_en_b   <=     1'b0;                                 
    end  
    else if(wr_addr_b   ==   ADDR_MAX)   begin  
    wr_en_b     <=      1'b0;
    end 
    else if(wr_addr_a   ==   ADDR_MAX)   begin                 //  寫完RAMA -開始寫RAMB    
    wr_en_b    <=      1'b1;
    end   
end 
//   寫地址信號  
always@(posedge sclk or negedge sync_rst_n)   begin 
     if(!sync_rst_n)   begin 
     wr_addr_b     <=      16'd0;                            
     end  
     else if(wr_addr_b   ==   ADDR_MAX) begin
     wr_addr_b     <=       16'd0;       
     end       
     else if(wr_en_b   ==  1'b1 )  begin                    
     wr_addr_b     <=    wr_addr_b      +     1'b1;
     end 
end 
//   讀使能信號  
always@(posedge sclk or negedge sync_rst_n)   begin 
     if(!sync_rst_n)   begin 
      rd_en_b          <=            1'b0;                          
     end  
     else if(wr_addr_b   ==   ADDR_MAX) begin                    
      rd_en_b          <=            1'b1;    
     end 
      else if (rd_addr_b    ==     ADDR_MAX) begin                  
      rd_en_b          <=            1'b0;     
     end 
end    
//   讀地址信號  
always@(posedge sclk  or  negedge sync_rst_n)   begin 
    if(!sync_rst_n)   begin 
      rd_addr_b          <=     10'd0;                              
    end  
    else if(rd_addr_b   ==  ADDR_MAX) begin
      rd_addr_b          <=         10'd0;  
    end      
    else if(rd_en_b    ==     1'b1)   begin                          
      rd_addr_b         <=     rd_addr_b          +         1'b1;
    end 
end 
//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
ram_8x1024       a_instance_name (
  .clka(sclk),            // input wire clka
  .ena(wr_en_a),       // input wire ena
  .wea(wr_en_a),       // input wire [0 : 0] wea
  .addra(wr_addr_a),   // input wire [9 : 0] addra
  .dina(data_in),         // input wire [7 : 0] dina
  .clkb(sclk),            // input wire clkb
  .enb(rd_en_a),       // input wire enb
  .addrb(rd_addr_a),   // input wire [9 : 0] addrb
  .doutb(rd_data_a)       // output wire [7 : 0] doutb
);


//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
ram_8x1024       b_instance_name (
  .clka(sclk),               // input wire clka
  .ena(wr_en_b),        // input wire ena
  .wea(wr_en_b),        // input wire [0 : 0] wea
  .addra(wr_addr_b),    // input wire [9 : 0] addra
  .dina(data_in),            // input wire [7 : 0] dina
  .clkb(sclk),               // input wire clkb
  .enb(rd_en_b),        // input wire enb
  .addrb(rd_addr_b),    // input wire [9 : 0] addrb
  .doutb(rd_data_b)          // output wire [7 : 0] doutb
);
endmodule

仿真激勵(lì)文件

`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company: 
// Engineer: 
// 
// Create Date: 2022/01/08 20:24:11
// Design Name: 
// Module Name: tb_pingpang_ram
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//////////////////////////////////////////////////////////////////////////////////
module tb_pingpang_ram();
reg                       sclk;
reg                       async_rst_n;
reg                       wr_valid;
reg [7:0]                 data_in;
wire [7:0]                data_out;


initial  begin 
sclk    =        0;
forever          #5
sclk    =       ~sclk;
end  


initial  begin 
        async_rst_n          <=        0;
        wr_valid             <=        0;  
        #100  
        async_rst_n          <=        1;
        #10
        @(posedge    sclk)
        @(posedge    sclk)
        @(posedge    sclk)
        @(posedge    sclk)
        wr_valid                  <=             1;       
        #10
        wr_valid                  <=             0;
        gen_data(  );
end  
//@(posedge    wr_valid)
//gen_data(  );
//end 
task  gen_data;
integer     i;  
begin
    for(i= 0;      i   <   12288;      i =    i   +   1)  begin
        @(posedge   sclk)
           data_in     =       i[7:0];
        end  
    end 
endtask   
pingpang_ram     u_pingpang_ram(
    .sclk        ( sclk        ),
    .async_rst_n ( async_rst_n ),
    .wr_valid    ( wr_valid    ),
    .data_in     ( data_in     ),
    .data_out    ( data_out    )
);
endmodule

2.4、仿真驗(yàn)證結(jié)果

圖片

圖2-2-1、乒乓RAM仿真結(jié)果-輸出數(shù)據(jù)連續(xù)

圖片

圖2-2-2、乒乓RAM仿真結(jié)果-輸入-輸出數(shù)據(jù)對應(yīng)

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1625

    文章

    21637

    瀏覽量

    601317
  • ROM
    ROM
    +關(guān)注

    關(guān)注

    4

    文章

    562

    瀏覽量

    85627
  • RAM
    RAM
    +關(guān)注

    關(guān)注

    8

    文章

    1365

    瀏覽量

    114476
  • Xilinx
    +關(guān)注

    關(guān)注

    71

    文章

    2158

    瀏覽量

    120874
  • 邏輯設(shè)計(jì)
    +關(guān)注

    關(guān)注

    1

    文章

    41

    瀏覽量

    11564
收藏 人收藏

    評論

    相關(guān)推薦

    利用FPGA實(shí)現(xiàn)雙口RAM的設(shè)計(jì)及應(yīng)用

    利用FPGA實(shí)現(xiàn)雙口RAM的設(shè)計(jì)及應(yīng)用 概述:為了在高速采集時(shí)不丟失數(shù)據(jù),在數(shù)據(jù)采集系統(tǒng)和
    發(fā)表于 04-16 14:08 ?1.2w次閱讀
    利用<b class='flag-5'>FPGA</b>實(shí)現(xiàn)雙口<b class='flag-5'>RAM</b>的設(shè)計(jì)及應(yīng)用

    如何實(shí)現(xiàn)ASIC RAM替換為FPGA RAM?

    大家好, 我使用Ultrascale Virtex Devices和Vivado工具, 在ASIC RAM中,ther是一個(gè)單獨(dú)的奇偶校驗(yàn)寫使能位,但在FPGA RAM中沒有單獨(dú)的Pariaty寫使能位。 如何實(shí)現(xiàn)ASIC
    發(fā)表于 04-24 09:37

    FPGA簡介

    (06)FPGA資源評估1.1 目錄1)目錄2)FPGA簡介3)Verilog HDL簡介4)FPGA資源評估5)結(jié)語1.2
    發(fā)表于 02-23 06:31

    基于FPGA的雙口RAM實(shí)現(xiàn)及應(yīng)用

      為了在高速采集時(shí)不丟失數(shù)據(jù),在數(shù)據(jù)采集系統(tǒng)和CPU之間設(shè)置一個(gè)數(shù)據(jù)暫存區(qū)。介紹雙口RAM的存儲原理及其在數(shù)字系統(tǒng)中的應(yīng)用。采用FPGA技術(shù)構(gòu)造雙口RAM,實(shí)現(xiàn)高速信號采集系
    發(fā)表于 02-11 11:20 ?69次下載

    基于Actel FPGA的雙端口RAM設(shè)計(jì)

    基于Actel FPGA 的雙端口RAM 設(shè)計(jì)雙端口RAM 芯片主要應(yīng)用于高速率、高可靠性、對實(shí)時(shí)性要求高的場合,如實(shí)現(xiàn)DSP與PCI 總線芯片之間的數(shù)據(jù)交換接口電路等。但普通雙端口RAM
    發(fā)表于 11-15 17:44 ?82次下載

    Cyclone FPGA系列簡介

    Cyclone FPGA系列簡介
    發(fā)表于 12-26 22:02 ?0次下載

    _FPGA內(nèi)部的RAM M9K

    FPGA內(nèi)部的RAM M9K
    發(fā)表于 04-07 11:40 ?4次下載

    技術(shù)控:FPGARAM使用技巧探索

    FPGARAM的使用探索。以4bitX4為例,數(shù)據(jù)位寬為4,深度為4。
    的頭像 發(fā)表于 03-28 17:07 ?1w次閱讀
    技術(shù)控:<b class='flag-5'>FPGA</b>中<b class='flag-5'>RAM</b>使用技巧探索

    FPGARAM存儲資源詳細(xì)資料說明

    本文檔的主要內(nèi)容詳細(xì)介紹的是FPGARAM存儲資源詳細(xì)資料說明包括了:1、 FPGA存儲資源簡介,2、 不同廠家的 Block RAM
    發(fā)表于 12-09 15:31 ?10次下載
    <b class='flag-5'>FPGA</b>的<b class='flag-5'>RAM</b>存儲資源詳細(xì)資料說明

    FPGA硬件基礎(chǔ)之FPGARAM存儲課件和工程文件

    本文檔的主要內(nèi)容詳細(xì)介紹的是FPGA硬件基礎(chǔ)之FPGARAM存儲課件和工程文件。
    發(fā)表于 12-10 15:27 ?30次下載
    <b class='flag-5'>FPGA</b>硬件基礎(chǔ)之<b class='flag-5'>FPGA</b>的<b class='flag-5'>RAM</b>存儲課件和工程文件

    使用FPGA調(diào)用RAM資源的詳細(xì)說明

    FPGA可以調(diào)用分布式RAM和塊RAM兩種RAM,當(dāng)我們編寫verilog代碼的時(shí)候如果合理的編寫就可以使我們想要的RAM被綜合成BRAM(
    發(fā)表于 12-30 16:27 ?9次下載

    如何使用FPGA內(nèi)部的RAM以及程序?qū)υ?b class='flag-5'>RAM的數(shù)據(jù)讀寫操作

    RAMFPGA中常用的基礎(chǔ)模塊,可廣泛用于緩存數(shù)據(jù)的情況,同樣它也是ROM,F(xiàn)IFO的基礎(chǔ)。本實(shí)驗(yàn)將為大家介紹如何使用FPGA內(nèi)部的RAM以及程序?qū)υ?/div>
    的頭像 發(fā)表于 02-08 15:50 ?1.4w次閱讀
    如何使用<b class='flag-5'>FPGA</b>內(nèi)部的<b class='flag-5'>RAM</b>以及程序?qū)υ?b class='flag-5'>RAM</b>的數(shù)據(jù)讀寫操作

    基于FPGA的ROM的實(shí)現(xiàn)簡介

    基于FPGA的ROM的實(shí)現(xiàn)簡介(嵌入式開發(fā)工程師培訓(xùn)學(xué)校)-該文檔為基于FPGA的ROM的實(shí)現(xiàn)簡介資料,講解的還不錯(cuò),感興趣的可以下載看看…………………………
    發(fā)表于 07-30 09:08 ?5次下載
    基于<b class='flag-5'>FPGA</b>的ROM的實(shí)現(xiàn)<b class='flag-5'>簡介</b>

    FPGA電源簡介

    FPGA電源簡介
    發(fā)表于 11-04 09:51 ?0次下載
    <b class='flag-5'>FPGA</b>電源<b class='flag-5'>簡介</b>

    fpga雙口ram的使用

    FPGA雙口RAM的使用主要涉及配置和使用雙端口RAM模塊。雙端口RAM的特點(diǎn)是有兩組獨(dú)立的端口,可以對同一存儲塊進(jìn)行讀寫操作,從而實(shí)現(xiàn)并行訪問。
    的頭像 發(fā)表于 03-15 13:58 ?909次閱讀