0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

2nm,成為決勝點(diǎn)

芯長(zhǎng)征科技 ? 來(lái)源:半導(dǎo)體行業(yè)觀察 ? 2023-09-01 14:55 ? 次閱讀

英特爾Intel)執(zhí)行長(zhǎng)季辛格(Pat Gelsinger)自上任之始,提出4年5個(gè)制程的計(jì)劃,并在近日分割了芯片設(shè)計(jì)和晶圓代工部門(mén)(IFS),引發(fā)各界關(guān)注。英特爾表示,目前預(yù)期 Intel 3 及 18A 兩個(gè)節(jié)點(diǎn),在未來(lái)會(huì)有較多外部客戶(hù)采用。

英特爾近年在芯片制程賽道上卯足全力追趕,繼去年成功量產(chǎn)Intel 4(約為臺(tái)積電7納米)后,Intel 3也即將在2023下半年進(jìn)入準(zhǔn)備量產(chǎn)階段(Manufacturing Ready)。同時(shí),市場(chǎng)也接連傳出部分臺(tái)積電客戶(hù)有意下單英特爾,以分散供應(yīng)鏈風(fēng)險(xiǎn),諸如聯(lián)發(fā)科英偉達(dá)(Nvidia)等大廠都被點(diǎn)名。

要在4年內(nèi)追趕5個(gè)制程,英特爾的晶圓代工策略也逐漸明朗。內(nèi)部評(píng)估,Intel 4和Intel 3制程能效相近,客戶(hù)很可能只會(huì)擇一制程下單,而同樣情況也將發(fā)生在Intel 20A和Intel 18A制程上。因此,Intel 3與Intel 18A將是英特爾于晶圓代工上,與臺(tái)積電、三星一較高下的武器。至于Intel 4和Intel 20A,相關(guān)人士指出英特爾將主要用于自家產(chǎn)品。

不過(guò)英特爾表示, 晶圓代工部門(mén)的重點(diǎn)在于商業(yè)模式的轉(zhuǎn)變,以客戶(hù)為導(dǎo)向 。因此若客戶(hù)希望下單Intel 4和Intel 20A,英特爾仍然張開(kāi)雙手歡迎,但不會(huì)主動(dòng)提供。

晶體管效能來(lái)看,消息人士指出,Intel 4效能落在臺(tái)積電5至7納米間;Intel 20A的效能則介于2和3納米;至于18A(1.8納米)則可想成臺(tái)積電2納米的升級(jí)版(2nm+),這也讓先進(jìn)制程之爭(zhēng)將在2納米時(shí)更加白熱化。

倘若開(kāi)發(fā)順利,臺(tái)積電、三星和英特爾都將在2025年量產(chǎn)2納米,成為下個(gè)世代的關(guān)鍵戰(zhàn)役。截至目前為止,三巨頭對(duì)于2納米的開(kāi)發(fā),皆表示一切都在軌道(on track/schedule)上。

雖然日前天風(fēng)證券分析師郭明錤指出,高通(Qualcomm)停止開(kāi)發(fā)采用Intel 20A的處理器,轉(zhuǎn)而投向臺(tái)積電3納米,指出少了高通可能會(huì)讓英特爾諸如背后供電等新技術(shù)的成長(zhǎng)線趨緩。如同臺(tái)積電與蘋(píng)果(Apple)之間存有新一代制程「試錯(cuò)」的伙伴關(guān)系,臺(tái)積電新一代制程的良率快速成長(zhǎng),與蘋(píng)果的大量下單以及反饋有關(guān)。

不過(guò)一位業(yè)內(nèi)人士認(rèn)為,相似的先進(jìn)制程技術(shù)之間本就存有淘汰作用,20A和18A的技術(shù)相近,很難保證高通是否也同時(shí)投入更先進(jìn)的18A制程。

同時(shí)該名業(yè)內(nèi)人士也認(rèn)為,對(duì)IC設(shè)計(jì)廠來(lái)說(shuō)投資的規(guī)模不會(huì)在一兩年內(nèi)立刻收回來(lái),因此若沒(méi)有顯著的差異,部分節(jié)點(diǎn)不見(jiàn)得會(huì)被大量采用,他認(rèn)為這樣的情況也可能出現(xiàn)在臺(tái)積電,如在2納米和1.4納米,最終也可能只剩下一個(gè)節(jié)點(diǎn)。

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 臺(tái)積電
    +關(guān)注

    關(guān)注

    43

    文章

    5535

    瀏覽量

    165702
  • 晶體管
    +關(guān)注

    關(guān)注

    77

    文章

    9505

    瀏覽量

    136956
  • 先進(jìn)制程
    +關(guān)注

    關(guān)注

    0

    文章

    79

    瀏覽量

    8388

原文標(biāo)題:2nm,成為決勝點(diǎn)

文章出處:【微信號(hào):芯長(zhǎng)征科技,微信公眾號(hào):芯長(zhǎng)征科技】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    消息稱(chēng)三星電子再獲2nm訂單

    三星電子在半導(dǎo)體代工領(lǐng)域再下一城,成功獲得美國(guó)知名半導(dǎo)體企業(yè)安霸的青睞,承接其2nm制程的ADAS(高級(jí)駕駛輔助系統(tǒng))芯片代工項(xiàng)目。
    的頭像 發(fā)表于 09-12 16:26 ?285次閱讀

    三星電子:加快2nm和3D半導(dǎo)體技術(shù)發(fā)展,共享技術(shù)信息與未來(lái)展望

    在技術(shù)研發(fā)領(lǐng)域,三星電子的3nm2nm工藝取得顯著進(jìn)步,預(yù)計(jì)本季度內(nèi)完成2nm設(shè)計(jì)基礎(chǔ)設(shè)施的開(kāi)發(fā);此外,4nm工藝的良率亦逐漸穩(wěn)定。
    的頭像 發(fā)表于 04-30 16:16 ?360次閱讀

    蘋(píng)果2nm芯片曝光,性能提升10%-15%

    據(jù)媒體報(bào)道,目前蘋(píng)果已經(jīng)在設(shè)計(jì)2nm芯片,芯片將會(huì)交由臺(tái)積電代工。
    的頭像 發(fā)表于 03-04 13:39 ?822次閱讀

    臺(tái)積電2nm制程進(jìn)展順利

    臺(tái)中科學(xué)園區(qū)已初步規(guī)劃A14和A10生產(chǎn)線,將視市場(chǎng)需求決定是否新增2nm制程工藝。
    的頭像 發(fā)表于 01-31 14:09 ?530次閱讀

    蘋(píng)果將成為首個(gè)采用其最新2nm工藝的客戶(hù)

    2nm工藝是臺(tái)積電采用的革新性GAA(Gate-All-Around)技術(shù),在相同功耗下相比當(dāng)前最先進(jìn)的N3E工藝,速度提升10%至15%,或在相同速度下功耗降低25%至30%。這一突破將大大提升蘋(píng)果設(shè)備的性能,并延長(zhǎng)電池使用時(shí)間。
    的頭像 發(fā)表于 01-26 15:51 ?483次閱讀

    臺(tái)積電在2nm制程技術(shù)上展開(kāi)防守策略

    臺(tái)積電的2nm技術(shù)是3nm技術(shù)的延續(xù)。一直以來(lái),臺(tái)積電堅(jiān)定地遵循著每一步一個(gè)工藝節(jié)點(diǎn)的演進(jìn)策略,穩(wěn)扎穩(wěn)打,不斷突破。
    發(fā)表于 01-25 14:14 ?339次閱讀

    蘋(píng)果欲優(yōu)先獲取臺(tái)積電2nm產(chǎn)能,預(yù)計(jì)2024年安裝設(shè)備生產(chǎn)

    有消息人士稱(chēng),蘋(píng)果期望能夠提前獲得臺(tái)積電1.4nm(A14)以及1nm(A10)兩種更為先進(jìn)的工藝的首次產(chǎn)能供應(yīng)。據(jù)了解,臺(tái)積電2nm技術(shù)開(kāi)發(fā)進(jìn)展順利,預(yù)期采用GAA(全柵極環(huán)繞)技術(shù)生產(chǎn)2n
    的頭像 發(fā)表于 01-25 14:10 ?396次閱讀

    臺(tái)積電2nm制程穩(wěn)步推進(jìn),2025年將實(shí)現(xiàn)量產(chǎn)

    得益于2nm制程項(xiàng)目的順利推進(jìn),寶山、高雄新晶圓廠的建造工程正有序進(jìn)行。臺(tái)中科學(xué)園區(qū)已初步確定了A14與A10生產(chǎn)線的布局,具體是否增設(shè)2nm制程工藝將根據(jù)市場(chǎng)需求再定。
    的頭像 發(fā)表于 01-16 09:40 ?486次閱讀

    三大芯片巨頭角逐2nm技術(shù)

    過(guò)去數(shù)十年里,芯片設(shè)計(jì)團(tuán)隊(duì)始終專(zhuān)注于小型化。減小晶體管體積,能降低功耗并提升處理性能。如今,2nm及3nm已取代實(shí)際物理尺寸,成為描述新一代芯片的關(guān)鍵指標(biāo)。
    的頭像 發(fā)表于 12-12 09:57 ?793次閱讀

    2nm意味著什么?2nm何時(shí)到來(lái)?它與3nm有何不同?

    3nm工藝剛量產(chǎn),業(yè)界就已經(jīng)在討論2nm了,并且在調(diào)整相關(guān)的時(shí)間表。2nm工藝不僅對(duì)晶圓廠來(lái)說(shuō)是一個(gè)重大挑戰(zhàn),同樣也考驗(yàn)著EDA公司,以及在此基礎(chǔ)上設(shè)計(jì)芯片的客戶(hù)。
    的頭像 發(fā)表于 12-06 09:09 ?1971次閱讀

    將銅互連擴(kuò)展到2nm的研究

    晶體管尺寸在3nm時(shí)達(dá)到臨界點(diǎn),納米片F(xiàn)ET可能會(huì)取代finFET來(lái)滿足性能、功耗、面積和成本目標(biāo)。同樣,正在評(píng)估2nm銅互連的重大架構(gòu)變化,此舉將重新配置向晶體管傳輸電力的方式。
    的頭像 發(fā)表于 11-14 10:12 ?332次閱讀
    將銅互連擴(kuò)展到<b class='flag-5'>2nm</b>的研究

    2nm芯片什么時(shí)候出 2nm芯片手機(jī)有哪些

    2nm芯片什么時(shí)候出 2nm芯片什么時(shí)候出這個(gè)問(wèn)題目前沒(méi)有相關(guān)官方的報(bào)道,因此無(wú)法給出準(zhǔn)確的回答。根據(jù)網(wǎng)上的一些消息臺(tái)積電于6月16日在2022年度北美技術(shù)論壇上首次宣布,將推出下一代先進(jìn)工藝制程
    的頭像 發(fā)表于 10-19 17:06 ?1280次閱讀

    2nm芯片是什么意思 2nm芯片什么時(shí)候量產(chǎn)

    2nm芯片是什么意思 2nm芯片指的是采用了2nm制程工藝所制造出來(lái)的芯片,制程工藝的節(jié)點(diǎn)尺寸表示芯片上元件的最小尺寸。這意味著芯片上的晶體管和其他電子元件的尺寸可以達(dá)到2納米級(jí)別。
    的頭像 發(fā)表于 10-19 16:59 ?4430次閱讀

    2nm芯片工藝有望破冰嗎?

    芯片2nm
    億佰特物聯(lián)網(wǎng)應(yīng)用專(zhuān)家
    發(fā)布于 :2023年10月11日 14:52:41

    新思科技設(shè)備在臺(tái)積電流片2nm芯片

    《半導(dǎo)體芯科技》編譯 來(lái)源:EENEWS EUROPE 新思科技(Synopsys)表示,其客戶(hù)已在臺(tái)積電2nm工藝上流片了多款芯片,同時(shí)對(duì)模擬和數(shù)字設(shè)計(jì)流程進(jìn)行了認(rèn)證。 新思科技表示,臺(tái)積電2nm
    的頭像 發(fā)表于 10-08 16:49 ?411次閱讀