0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

背面供電成先進(jìn)制程競(jìng)爭又一技術(shù)高地,英特爾先發(fā)制人,臺(tái)積電、三星加碼跟進(jìn)

Robot Vision ? 來源:電子發(fā)燒友網(wǎng) ? 作者:李寧遠(yuǎn) ? 2023-09-03 00:01 ? 次閱讀
電子發(fā)燒友網(wǎng)報(bào)道(文/李寧遠(yuǎn))半導(dǎo)體芯片制造領(lǐng)域制程工藝的角逐從來未曾停歇,白熱化的競(jìng)爭不斷挑戰(zhàn)著摩爾定律的極限。隨著摩爾定律的演進(jìn),晶體管越來越小,密度越來越高,堆棧層數(shù)也越來越多。
現(xiàn)今的邏輯半導(dǎo)體具有10至15層甚至更多的多層布線,細(xì)信號(hào)線和粗電源線混合在多層布線中,線路層越來越混亂。為了解決芯片設(shè)計(jì)線路層混亂的問題,背面供電網(wǎng)絡(luò)BSPDN技術(shù)的應(yīng)用受到越來越多的關(guān)注。
探索背面供電,解決芯片線路設(shè)計(jì)兩難
隨著半導(dǎo)體技術(shù)的飛速發(fā)展,先進(jìn)制程的角逐已經(jīng)圍繞著5nm以下的工藝展開。隨著制程節(jié)點(diǎn)由5nm向3nm、2nm發(fā)展和演進(jìn),芯片制造的難度逐步逼近摩爾定律的物理極限,從制程進(jìn)步中獲得芯片性能提升的難度和成本越來越高。
在越來越小的晶體管中,堆棧層數(shù)越來越多,信號(hào)線和電源線在多層布線中混合在一起用于連接晶體管與金屬層。在越來越有限的芯片面積內(nèi),電源線進(jìn)一步縮小會(huì)帶來IR Drop問題,晶體管得不到充足的電力供應(yīng)。
但是如果選擇保證電源線厚度避免電壓降,又會(huì)占用更多片內(nèi)信號(hào)線的布線空間。在以前的制程節(jié)點(diǎn)中,這一問題并沒有充分暴露出來,而線路層的信號(hào)線和電源線設(shè)計(jì)在3nm、2nm以及更小制程節(jié)點(diǎn)的演進(jìn)下陷入兩難的境地。
傳統(tǒng)的供電線路是在正面進(jìn)行布線,這種供電技術(shù)會(huì)影響到金屬層的資源的分配,在電路設(shè)計(jì)越來越復(fù)雜的情況下如何處理信號(hào)網(wǎng)絡(luò)跟供電網(wǎng)絡(luò)之間的資源排擠問題,是芯片進(jìn)一步微縮的關(guān)鍵,也是芯片制造面臨的主要挑戰(zhàn)之一。
為了解決這一兩難的困境,業(yè)界不斷探索著新的芯片供電網(wǎng)絡(luò)方案。背面供電網(wǎng)絡(luò)BSPDN技術(shù)正是解決芯片線路設(shè)計(jì)兩難境地的革命性技術(shù)。
背面供電技術(shù)BSPDN該技術(shù)最早于2019年IMEC研討會(huì)上被提出,是在晶體管三維結(jié)構(gòu)上進(jìn)行創(chuàng)新,挖掘晶圓背面空間的潛力,將原先和晶體管一同排布的供電網(wǎng)絡(luò)直接轉(zhuǎn)移到晶體管的背面重新排布。
供電問題在晶圓背面解決,IR Drop效應(yīng)明顯下降,芯片性能得以改善,芯片的正面只需要專注于信號(hào)互連。
背面供電技術(shù)能帶來的優(yōu)勢(shì)遠(yuǎn)遠(yuǎn)不止解決供電問題IR Drop這么單一,物理設(shè)計(jì)上的改善,能夠大幅提高芯片利用率,減少時(shí)序擁堵等問題;背面供電同時(shí)縮減了金屬線長,這意味著時(shí)鐘功耗能夠降低、緩沖器數(shù)量減少和主動(dòng)功耗降低。
綜合這些所有的優(yōu)勢(shì)點(diǎn),采用背面供電技術(shù)和采用傳統(tǒng)供電技術(shù)相比,芯片的性能、能效等系統(tǒng)級(jí)指標(biāo)均能夠大幅提升,功耗、面積得以進(jìn)一步降低。
在2nm賽道摩拳擦掌的臺(tái)積電、三星以及英特爾,均在后續(xù)的開發(fā)路線圖上提及了背面供電技術(shù)這一方向。在2nm及以下的制程節(jié)點(diǎn)的競(jìng)爭上,背面供電技術(shù)無疑是分量很重的一項(xiàng)布局。
巨頭競(jìng)爭,搶攻背面供電技術(shù)高地
從目前布局開發(fā)背面供電技術(shù)幾家巨頭的進(jìn)度來看,英特爾是在這條賽道上最激進(jìn)也有望最先落地背面供電技術(shù)應(yīng)用的一方。根據(jù)英特爾目前已經(jīng)公布的信息,其獨(dú)家的背面供電技術(shù)PowerVia將用于18A、20A工藝節(jié)點(diǎn),并且英特爾已經(jīng)率先在產(chǎn)品級(jí)測(cè)試芯片上實(shí)現(xiàn)了背面供電。
英特爾官方宣布該技術(shù)將在2024年20A制程節(jié)點(diǎn)上正式落地應(yīng)用,這比三星和臺(tái)積電暫定的背面供電技術(shù)推出時(shí)間都要早。
根據(jù)英特爾的測(cè)試,PowerVia將平臺(tái)電壓降低了30%,并帶來了6%的頻率增益。同時(shí)測(cè)試中芯片大部分區(qū)域的標(biāo)準(zhǔn)單元利用率都超過了90%,同時(shí)晶體管體積縮小,單元密度大幅增加。為此,英特爾還開發(fā)了新的散熱技術(shù)保證散熱。
當(dāng)前背面供電技術(shù)還面臨著良率和可靠性等方面的挑戰(zhàn),不過從英特爾目前已經(jīng)公布的測(cè)試來看,PowerVia的良率和可靠性已經(jīng)較為成熟。所以英特爾在明年正式使用PowerVia背面供電技術(shù)配合20A制程節(jié)點(diǎn)上線把握性還是很大的。
在先進(jìn)制程的競(jìng)爭中,三星同樣不甘示弱,其背面供電技術(shù)已經(jīng)在緊鑼密鼓地開發(fā)中。根據(jù)相關(guān)消息,三星目前正在針對(duì)背面供電技術(shù)做市場(chǎng)需求調(diào)查,在確保需求充分后,背面供電技術(shù)可能會(huì)應(yīng)用到計(jì)劃于2025年量產(chǎn)的2nm中以解決使用2nm工藝造成的布線擁塞問題,計(jì)劃于2027年量產(chǎn)的1.4nm工藝使用背面供電技術(shù)是可以確定的。
根據(jù)三星披露的其研發(fā)的背面供電技術(shù)測(cè)試數(shù)據(jù),兩個(gè)基于ARM架構(gòu)的處理器,采用背面供電技術(shù)后,相比采用傳統(tǒng)供電方式,芯片面積分別縮小了10.6%和19%。這意味著內(nèi)部的集成度和性能都能有不小的提升。同時(shí),背面技術(shù)的應(yīng)用使布線長度減少了接近10%,這也能帶來額外的性能優(yōu)勢(shì)。
作為另一家巨頭,臺(tái)積電也在背部供電上進(jìn)行著布局,但在技術(shù)推進(jìn)上稍顯保守。根據(jù)臺(tái)積電此前公布的規(guī)劃,臺(tái)積電會(huì)在2026年推出一個(gè)N2P制程節(jié)點(diǎn),同時(shí)在這個(gè)工藝上,背面供電技術(shù)將被引入進(jìn)來。
根據(jù)臺(tái)積電透露的相關(guān)技術(shù)數(shù)據(jù),N2P制程技術(shù)將通過背后供電技術(shù)能夠有效減少IR Drop和改善信號(hào),芯片性能提高了10%—12%,并將邏輯面積減少10%—15%。雖然推出時(shí)間有些落后,但在背面供電技術(shù)的前期準(zhǔn)備上,臺(tái)積電已經(jīng)做了不少相關(guān)的技術(shù)儲(chǔ)備。
三家巨頭中目前在背面供電技術(shù)最領(lǐng)先的無疑是英特爾,不論是從披露的相關(guān)技術(shù)進(jìn)度、成熟度,還是從量產(chǎn)落地時(shí)間來看,英特爾目前都還是很有優(yōu)勢(shì)的,相比其他廠商有著兩年左右的領(lǐng)先。尤其是在良率和可靠性上,英特爾目前是最具把握性的。
先進(jìn)制程的角逐,將在2025年之后,各家2nm以及更先進(jìn)節(jié)點(diǎn)芯片量產(chǎn)后進(jìn)入白熱化。而背面供電技術(shù)的成熟度無疑會(huì)影響各個(gè)巨頭在先進(jìn)制程角逐中的話語權(quán)。
小結(jié)
雖然它的實(shí)現(xiàn)涉及調(diào)試、散熱等諸多難度很高的挑戰(zhàn),但背面供電技術(shù)能夠帶來的優(yōu)勢(shì)同樣非常明顯,使用背面供電技術(shù)的芯片在性能和能效上獲得極大的提升,繼續(xù)逼近著摩爾定律的極限。
用更少的能量實(shí)現(xiàn)多的擴(kuò)展性能,該技術(shù)成熟后能給半導(dǎo)體工藝帶來的改變,或許不止這些現(xiàn)在已經(jīng)被發(fā)掘出來的優(yōu)勢(shì)。背面供電技術(shù)也被視為繼續(xù)開發(fā)更精細(xì)工藝節(jié)點(diǎn)技術(shù)的基本技術(shù),成為現(xiàn)在芯片晶圓廠正在全力競(jìng)爭又一個(gè)技術(shù)高地。
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 英特爾
    +關(guān)注

    關(guān)注

    60

    文章

    9748

    瀏覽量

    170652
  • 臺(tái)積電
    +關(guān)注

    關(guān)注

    43

    文章

    5535

    瀏覽量

    165701
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    英特爾攜手日企加碼先進(jìn)封裝技術(shù)

    英特爾公司近日在半導(dǎo)體技術(shù)領(lǐng)域再有大動(dòng)作,加碼先進(jìn)封裝技術(shù),并與14家日本企業(yè)達(dá)成深度合作。此次合作中,
    的頭像 發(fā)表于 06-11 09:43 ?312次閱讀

    今日看點(diǎn)丨ASML今年將向臺(tái)三星英特爾交付High-NA EUV;理想 L9 出事故司機(jī)質(zhì)疑 LCC,產(chǎn)品經(jīng)理回應(yīng)

    )。根據(jù)ASML發(fā)言透露,該公司財(cái)務(wù)長Roger Dassen 在最近次的電話會(huì)議上向分析師說,公司最大的個(gè)客戶──臺(tái)
    發(fā)表于 06-06 11:09 ?755次閱讀

    Rapidus對(duì)首代工藝中0.33NA EUV解決方案表示滿意,未采用高NA EUV光刻機(jī)

    在全球四大先進(jìn)制程代工巨頭(包括臺(tái)、三星電子、英特爾以及Rapidus)中,只有
    的頭像 發(fā)表于 05-27 14:37 ?489次閱讀

    臺(tái)英特爾引領(lǐng)半導(dǎo)體行業(yè)先進(jìn)封裝技術(shù)創(chuàng)新

    聯(lián)盟目前有超過120家企業(yè)加盟,包括臺(tái)、三星、ASE、AMD、ARM、高通、谷歌、Meta(Facebook)、微軟等業(yè)界翹楚,由
    的頭像 發(fā)表于 03-20 09:55 ?396次閱讀

    臺(tái)擴(kuò)增3nm產(chǎn)能,部分5nm產(chǎn)能轉(zhuǎn)向該節(jié)點(diǎn)

    目前,蘋果、高通、聯(lián)發(fā)科等世界知名廠商已與臺(tái)電能達(dá)成緊密合作,預(yù)示臺(tái)將繼續(xù)增加 5nm產(chǎn)能至該節(jié)點(diǎn)以滿足客戶需求,這標(biāo)志著其在3nm
    的頭像 發(fā)表于 03-19 14:09 ?468次閱讀

    英特爾CFO稱將持續(xù)從臺(tái)采購,18A節(jié)點(diǎn)爭取少量代工訂單

    辛斯納強(qiáng)調(diào),盡管當(dāng)前不完全依賴臺(tái),但英特爾臺(tái)
    的頭像 發(fā)表于 03-18 10:19 ?354次閱讀

    臺(tái)營收超越英特爾三星,首次成為全球最大半導(dǎo)體制造商

    報(bào)告指出,臺(tái) 2023 年?duì)I收達(dá)到 693 億美元(當(dāng)前約 4989.6 億元人民幣),超過了英特爾的 542.3 億美元(當(dāng)前約 3904.56 億元人民幣)和
    的頭像 發(fā)表于 02-27 10:12 ?547次閱讀

    英特爾宣布推進(jìn)1.4納米制程

    ,臺(tái)三星已經(jīng)推出3納米制程芯片,而英特爾則剛剛實(shí)現(xiàn)了5納米
    的頭像 發(fā)表于 02-23 11:23 ?378次閱讀

    芯片先進(jìn)制程之爭:2nm戰(zhàn)況激烈,1.8/1.4nm苗頭顯露

    隨著GPU、CPU等高性能芯片不斷對(duì)芯片制程提出了更高的要求,突破先進(jìn)制程技術(shù)壁壘已是業(yè)界的共同目標(biāo)。目前放眼全球,掌握先進(jìn)制程技術(shù)的企業(yè)主
    的頭像 發(fā)表于 01-04 16:20 ?754次閱讀
    芯片<b class='flag-5'>先進(jìn)制程</b>之爭:2nm戰(zhàn)況激烈,1.8/1.4nm苗頭顯露

    臺(tái)英特爾,大戰(zhàn)觸即發(fā)

    臺(tái)三星可能會(huì)跟隨英特爾落后一兩年進(jìn)入背面供電領(lǐng)
    的頭像 發(fā)表于 01-03 16:09 ?761次閱讀
    <b class='flag-5'>臺(tái)</b><b class='flag-5'>積</b><b class='flag-5'>電</b>和<b class='flag-5'>英特爾</b>,大戰(zhàn)<b class='flag-5'>一</b>觸即發(fā)

    英特爾20A、18A工藝流片,臺(tái)面臨挑戰(zhàn)

    英特爾的Intel 20A和Intel 18A工藝已經(jīng)開始流片,意味著量產(chǎn)階段已經(jīng)不遠(yuǎn)。而2nm工藝和1.8nm工藝的先進(jìn)程度無疑已經(jīng)超過了三星臺(tái)
    的頭像 發(fā)表于 12-20 17:28 ?1371次閱讀

    英特爾宣布完成PowerVia背面供電技術(shù)的開發(fā)

    英特爾在2023年國際電子設(shè)備制造大會(huì)上宣布,他們已經(jīng)成功完成了項(xiàng)名為PowerVia的背面供電技術(shù)的開發(fā)。這個(gè)
    的頭像 發(fā)表于 12-11 16:10 ?741次閱讀
    <b class='flag-5'>英特爾</b>宣布完成PowerVia<b class='flag-5'>背面</b><b class='flag-5'>供電</b><b class='flag-5'>技術(shù)</b>的開發(fā)

    英偉達(dá)擊敗臺(tái)英特爾,登上王座

    雖然臺(tái)、三星英特爾等芯片行業(yè)競(jìng)爭對(duì)手到 2023 年都取得了
    的頭像 發(fā)表于 11-25 14:43 ?787次閱讀
    英偉達(dá)擊敗<b class='flag-5'>臺(tái)</b><b class='flag-5'>積</b><b class='flag-5'>電</b>和<b class='flag-5'>英特爾</b>,登上王座

    三星希望進(jìn)口更多ASML EUV***,5年內(nèi)新增50臺(tái)

    EUV曝光是先進(jìn)制程芯片制造中最重要的部分,占據(jù)總時(shí)間、總成本的半以上。由于這種光刻機(jī)極為復(fù)雜,因此ASML每年只能制造約60臺(tái),而全球5家芯片制造商都依賴ASML的EUV光刻機(jī),包括英特爾
    的頭像 發(fā)表于 11-22 16:46 ?630次閱讀

    臺(tái)、三星英特爾先進(jìn)制程競(jìng)爭白熱化

    英特爾執(zhí)行長PatGelsinger 透露,18A 已取得家客戶代工訂單,希望年底前爭取到第四位客戶,先進(jìn)制程18A 計(jì)劃于2024 年底開始生產(chǎn),其中位客戶已先付款,外界預(yù)期可能
    的頭像 發(fā)表于 11-19 10:08 ?1076次閱讀
    <b class='flag-5'>臺(tái)</b><b class='flag-5'>積</b><b class='flag-5'>電</b>、<b class='flag-5'>三星</b>、<b class='flag-5'>英特爾</b><b class='flag-5'>先進(jìn)制程</b><b class='flag-5'>競(jìng)爭</b>白熱化