0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA設計之tcl腳本的應用

CHANBAEK ? 來源:小小研究生 ? 作者:yjs ? 2023-09-07 18:30 ? 次閱讀

目前已經(jīng)學完了基礎實驗,這里要介紹Quatus自帶的兩個非常重要的功能,第一個是tcl腳本,第二個是SignalTap(下一篇)。

我們首先從管腳分配的實例去理解tcl腳本的功能。TCL(tool command language),是一種解釋執(zhí)行的腳本語言,常用于快速原型開發(fā),腳本編程,GUI和測試等方面。包含了語言和庫,首先tcl是一種簡單的腳本語言,主要使用于發(fā)布命令給一些程序如文本編輯器、調(diào)試器和shell。同時它也是個庫,可以被嵌入應用程序,每個應用程序都可以根據(jù)自己的需要對tcl語言進行擴展。由于它具有良好的可擴展性,目前成為自動測試中的標準。

快速綁定管腳

當復雜模塊需要綁定的管腳過多時,手動去設置不方便,這里提供了一種自動化綁定管腳的方法,同時Quatus提供了支持tcl腳本的接口。我的tcl管腳分配腳本中包含了開發(fā)板上用到的可控外設的所有管腳配置信息,由于開發(fā)板廠家和型號是不一樣的,管腳也會有區(qū)別,但是方法和語法是一樣的,只需要做些許修改。

圖片

注釋用#,set_location_assignment表示進行管腳約束,后面是管腳的位置,“-to”后面是代碼頂層的管腳名,每行結束后沒有分號

在實際使用時,tcl腳本文件要放在工程文件夾下,并將其添加到工程中,否則無法自動識別。這個工程用到哪個模塊的引腳就只需要保留對應的引腳。其他沒用到的模塊用#注釋掉。在notepad++中按住Alt鍵在列模式下進行編輯,拖動鼠標選中每一列開頭的位置輸入#。

圖片

實際tcl腳本分配管腳的優(yōu)勢在管腳特別多的時候才明顯,但是目前還沒接觸特別復雜的模塊,先以流水燈為例

1、將tcl腳本命令為water_led.tcl放在工程文件夾下

圖片

2、注釋掉沒有使用到的管腳,只留下時鐘,復位,四個LED。代碼中的端口名和Tcl腳本中的管腳命名必須一致!??!

3、Toos-TCL Scripts-自動識別到-run(亂碼的中文不影響)

圖片

4、打開pin planner確認管腳都已經(jīng)自動分配好

圖片

還有一種方式可以加載tcl腳本,結果是一樣的,習慣哪種都行

1、View-Utility Windows-Tcl Console

2、在tcl console欄里復制粘貼tcl腳本里的內(nèi)容

3、打開pin planner確認管腳都已經(jīng)自動分配好

圖片

還有一種方式可以分配管腳

Assignments-Import Assignments-添加csv或txt文件(同樣要將csv文件或txt文件放到工程目錄下)

圖片

快速解除管腳

Assignments-Remove Assignments-選中Pin, Location & Routing Assignments-OK

圖片

圖片

導出Tcl腳本

手動綁定好管腳之后也可以直接導出包含管腳信息的tcl腳本

按住shift鍵選中所有pin,右鍵單擊選擇export,輸出格式選擇tcl,選好導出文件位置,導出

圖片

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1620

    文章

    21510

    瀏覽量

    598897
  • FPGA設計
    +關注

    關注

    9

    文章

    428

    瀏覽量

    26421
  • TCL
    TCL
    +關注

    關注

    10

    文章

    1699

    瀏覽量

    88266
  • 腳本
    +關注

    關注

    1

    文章

    382

    瀏覽量

    14761
收藏 人收藏

    評論

    相關推薦

    XILINX FPGA Debug with VIO and TCL

    提供的軟核,通過Xilinx開發(fā)環(huán)境和jtag下載線可以在PC上獲知FPGA內(nèi)部邏輯信號的狀態(tài),也能輸出信號給FPGA內(nèi)部邏輯。TCL是一種通用的EDA工具腳本語言。Xilinx開發(fā)環(huán)
    發(fā)表于 03-08 15:29

    加載TCL腳本

    求助大神 制作能夠 “加載TCL腳本功能”得用什么控件!求指教求指點~!萬分感謝~!{:36:}百度了好幾天都沒有~!
    發(fā)表于 04-22 09:55

    關于TCL腳本問題

    請問大家,這個tcl腳本文件是做什么用的呢????本人是菜鳥,還望大家多多指教啊
    發(fā)表于 06-14 16:05

    例說FPGA連載33:PLL例化配置與LED使用Tcl Console進行引腳分配

    `例說FPGA連載33:PLL例化配置與LED使用Tcl Console進行引腳分配特權同學,版權所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1c0nf6Qc
    發(fā)表于 09-17 17:50

    例說FPGA連載34:PLL例化配置與LED使用TCL Scripts進行引腳分配

    `例說FPGA連載34:PLL例化配置與LED使用TCL Scripts進行引腳分配特權同學,版權所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1c0nf6Qc
    發(fā)表于 09-23 09:34

    勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載49:PWM蜂鳴器驅(qū)動引腳分配

    Language),即工具命令語言。是一種好用易學的編程語言。在EDA工具中廣泛使用,幾乎所有FPGA開發(fā)工具都支持這種語言進行輔助設計。例如這里我們就要嘗試用tcl腳本進行FPGA
    發(fā)表于 02-27 21:50

    ISE 14.7怎么從tcl腳本更改.bit文件名

    你好,我做了Project->生成TCL腳本。現(xiàn)在,我希望能夠從tcl腳本中指定.bit文件名。我怎么做?以上來自于谷歌翻譯以下為原文hello,I did the Project-&
    發(fā)表于 11-09 11:49

    【創(chuàng)龍TLZ7x-EasyEVM評估板試用連載】TcL腳本的使用

    ` 今天繼續(xù)與大家分享一下使用TcL腳本生成Vivado工程及編譯的開發(fā)體驗。創(chuàng)龍?zhí)峁┝素S富的入門教程與Demo程序,幫助我們快速熟悉FPGA開發(fā)流程。先來了解一下什么是Tcl呢?
    發(fā)表于 06-07 13:59

    在Vivado下利用Tcl腳本對綜合后的網(wǎng)表進行編輯過程

    在ISE下,對綜合后的網(wǎng)表進行編輯幾乎是不可能的事情,但在Vivado下成為可能。Vivado對Tcl的支持,使得Tcl腳本FPGA設計中有了用武之地。本文通過一個實例演示如何在Vi
    發(fā)表于 11-18 03:16 ?7314次閱讀
    在Vivado下利用<b class='flag-5'>Tcl</b><b class='flag-5'>腳本</b>對綜合后的網(wǎng)表進行編輯過程

    VivadoTCL腳本語言基本語法介紹

    TCL腳本語言 Tcl(Tool Command Language)是一種很通用的腳本語言,它幾乎在所有的平臺上都可以解釋運行,而且VIVADO也提供了
    發(fā)表于 04-11 12:09 ?9641次閱讀

    FPGA設計中Tcl在Vivado中的基礎應用

    Tcl介紹 Vivado是Xilinx最新的FPGA設計工具,支持7系列以后的FPGA及Zynq 7000的開發(fā)。與之前的ISE設計套件相比,Vivado可以說是全新設計的。無論從界面、設置、算法
    的頭像 發(fā)表于 11-17 17:32 ?2428次閱讀

    FPGA調(diào)試中常用的TCL語法簡介

    使用Jtag Master調(diào)試FPGA程序時用到tcl語言,通過編寫tcl腳本,可以實現(xiàn)對FPGA的讀寫,為調(diào)試
    的頭像 發(fā)表于 02-19 19:44 ?2597次閱讀

    ?FPGA便捷開發(fā)-TCL商店(開源)

    傳統(tǒng)的FPGA開發(fā)都是通過GUI界面進行相關的“按鈕”式操作,Vivado則在引入Tcl解釋器后,可以通過非工程模式進行操作,一個Tcl腳本即可自動化建立工程,對工程進行分析。
    的頭像 發(fā)表于 04-07 15:02 ?5582次閱讀

    Vivado設計套件用戶指南:使用Tcl腳本

    電子發(fā)燒友網(wǎng)站提供《Vivado設計套件用戶指南:使用Tcl腳本.pdf》資料免費下載
    發(fā)表于 09-14 14:59 ?0次下載
    Vivado設計套件用戶指南:使用<b class='flag-5'>Tcl</b><b class='flag-5'>腳本</b>

    Vivado Design Suite用戶指南:使用Tcl腳本

    電子發(fā)燒友網(wǎng)站提供《Vivado Design Suite用戶指南:使用Tcl腳本.pdf》資料免費下載
    發(fā)表于 09-13 15:26 ?0次下載
    Vivado Design Suite用戶指南:使用<b class='flag-5'>Tcl</b><b class='flag-5'>腳本</b>