0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

半導(dǎo)體的制造工藝及流程

冬至子 ? 來源:簡矽芯學(xué)堂 ? 作者:簡矽芯學(xué)堂 ? 2023-09-15 10:28 ? 次閱讀

何為半導(dǎo)體?

1

半導(dǎo)體的定義

我們可以根據(jù)材料導(dǎo)電性的強(qiáng)弱將材料分為三類:導(dǎo)體,絕緣體和半導(dǎo)體。

導(dǎo)體 :電導(dǎo)率大于10^3^S/cm的材料,如金,銀,銅

半導(dǎo)體 :電導(dǎo)率介于兩者之間的材料,如硅,鍺,硼

絕緣體 :電導(dǎo)率小于10^-8^S/cm的材料,如玻璃,塑料

也可以采用量子力學(xué)中的能帶理論對其分析。從下圖可以發(fā)現(xiàn)絕緣體的禁帶寬度約在9eV,半導(dǎo)體的禁帶寬度在1eV,導(dǎo)體的導(dǎo)帶和價帶基本上重疊。

圖片

2

半導(dǎo)體的分類

根據(jù)半導(dǎo)體的組成我們還可以將其分為:

元素半導(dǎo)體 :如鍺,硅等,現(xiàn)在說的半導(dǎo)體主要指的是硅,也就是我們?nèi)粘I钪械纳匙?/p>

化合物半導(dǎo)體 :由兩種或兩種以上的元素化合而成的半導(dǎo)體,如砷化鎵等

無定形半導(dǎo)體 :用作半導(dǎo)體的玻璃是一種非晶無定形的半導(dǎo)體材料,分為氧化和非氧化兩種

在半導(dǎo)體工業(yè)中我們主要采用的是元素半導(dǎo)體來制備芯片,我們平時使用的半導(dǎo)體芯片實際上就是超大規(guī)模的集成電路

技術(shù)要求

1

超凈技術(shù)

即要求嚴(yán)格控制工作環(huán)境中的塵埃,做到無污染生產(chǎn)。目前的塵埃顆粒直徑已能控制在納米級別。例如0.25工藝下,1立方米空氣中直徑大于0.1微米的塵埃不能超過100個。

2

超高純度

要求制造過程中所用的材料,氣體和試劑等必須是超純的。目前能控制的有害雜質(zhì)含量可以做到PPB(十億分之一)以下。

3

超微細(xì)加工技術(shù)

通常把最小線寬為微米級或亞微米級的加工技術(shù)統(tǒng)稱為微細(xì)加工技術(shù),主要包括晶體生長和薄層生成技術(shù),微細(xì)圖形加工技術(shù),精密控制摻雜技術(shù)等。決定集成電路集成度的主要因素是由這些技術(shù)水平所決定的基片材料的直徑大小和每個元件所具有的微小尺寸。

FEOL-前道工藝

半導(dǎo)體制造工藝分為前道工藝和后道工藝。其中前道工藝最為重要,且技術(shù)難點多,操作復(fù)雜,是整個半導(dǎo)體制造流程的核心。接下來我們來依次介紹前道中有哪些重要的工藝和技術(shù)。

1

晶圓加工

所有半導(dǎo)體工藝都始于一粒沙子。在制備芯片之前我們需要先制備出合格的晶圓。想要獲得高質(zhì)量的晶圓我們首先要提取高純度的單晶硅棒,這里就需要用到二氧化硅含量高達(dá)95%以上的硅砂。制備晶圓有以下三個步驟:

1

鑄錠

將硅砂加熱,去除其中的雜質(zhì),通過溶解,提純,蒸餾等一系列操作獲得高純度的電子級硅。通過提拉法將熔融的高純度的單晶硅凝固成棒狀的鑄錠。

2

錠切割

鑄錠完成后用金剛石鋸切掉鑄錠的兩端,然后再將其切割成一定厚度的薄片。錠薄片的直徑?jīng)Q定了晶圓的尺寸。

3

晶圓表面拋光

通過切片獲得的薄片我們稱為“Wafer”。這樣的晶圓不能直接使用還需要對其表面進(jìn)行研磨和化學(xué)刻蝕來去除瑕疵。最后通過拋光和清洗使得晶圓表面變得光潔,完整。

2

氧化工藝

氧化過程的作用是在晶圓表面形成保護(hù)膜。它可以保護(hù)晶圓不受化學(xué)雜質(zhì)影響,避免漏電流進(jìn)入電路,預(yù)防離子植入過程中的擴(kuò)散以及防止晶圓在刻蝕時的滑脫。氧化工藝可以分為兩步:

第一步:氧化過程的第一步是去除雜質(zhì)和污染物。需要通過四步去除有機(jī)物,金屬等雜質(zhì)以及蒸發(fā)殘留的水分。

第二步:清潔完成后就可以將晶圓置于800至1200℃的高溫環(huán)境下,通過氧氣或者蒸汽在晶圓表面流動形成二氧化硅(即為氧化物)層。通過這種方法制備的氧化層非常的薄,可以做到納米級別。

其中第一步中的去除有機(jī)物和金屬雜質(zhì)這一步可以細(xì)分為四步:去分子型雜質(zhì)→去離子型雜質(zhì)→去原子型雜質(zhì)→高純水清洗。

根據(jù)氧化反應(yīng)中氧化劑的不同,熱氧化過程可分為干法氧化和濕法氧化,前者使用純氧產(chǎn)生二氧化硅層,速度慢但是氧化層薄而致密,后者需同時使用氧氣和高溶解度的水蒸氣,其特點是生長速度快但保護(hù)層相對較厚且密度較低。

除氧化劑以外,還有其他變量會影響到二氧化硅層的厚度。首先,晶圓結(jié)構(gòu)及其表面缺陷和內(nèi)部摻雜濃度都會影響氧化層的生成速率。此外,氧化設(shè)備的壓力和溫度越高,氧化層的生成就越快。在氧化過程,還需要根據(jù)單元中晶圓的位置使用假片,以保護(hù)晶圓并減小氧化度的差異。

圖片

3

四大制程

1

光刻

光刻工藝是四大制程之一,它可以通過以下三步來實現(xiàn):

① 涂覆光刻膠

采用旋涂法在晶圓表面涂覆一層光刻膠,涂覆越均勻,可以印刷的圖形就越精細(xì)。根據(jù)光(紫外線)反應(yīng)性的區(qū)別,光刻膠可分為兩種:正膠和負(fù)膠,前者在受光后會分解并且消失,從而留下未受光區(qū)域的圖形,而后者在受到光后會聚合并讓受光部分的圖形顯現(xiàn)。

圖片

② 曝光

在曝光前其實還需要進(jìn)行軟烘烤和對齊。軟烘烤是為了去除多余的光刻膠溶劑。對齊則是將掩膜板與晶圓對準(zhǔn)在正確的位置上,然后通過控制光線照射來完成電路印刷。

③ 顯影

曝光之后的步驟是在晶圓上噴涂顯影劑,目的是選擇性的去除曝光后的光刻膠,從而讓印刷好的電路圖案顯現(xiàn)出來。顯影完成后需要通過各種測量設(shè)備和光學(xué)顯微鏡進(jìn)行檢查,確保電路圖繪制的質(zhì)量。

圖片

2

刻蝕

刻蝕工藝是四大制程之一,它的目的是為了去除多余的氧化膜,保留光刻下來的電路圖,可以通過以下兩種方法進(jìn)行刻蝕:

① 濕法刻蝕

使用化學(xué)溶液去除氧化膜。

優(yōu)點 :成本低,速度快,產(chǎn)率高,選擇性好

缺點 :具有各向同性的特點,速度在各個方向上相同,導(dǎo)致掩膜與氧化膜對不整齊,難以處理精細(xì)的電路

圖片

② 干法刻蝕

使用物理濺射,即用等離子體轟擊來去除多余的氧化層。

優(yōu)點 :各向異性,精度高

缺點 :速度慢,選擇性差

圖片

3

沉積

沉積是指將特定原子和分子的物質(zhì)通過特殊的手段在晶圓上形成“薄膜”。一般這樣的“薄膜”是指厚度小于1微米,無法通過普通機(jī)械加工出來的“膜”。要想制造多層的半導(dǎo)體結(jié)構(gòu)就應(yīng)該在晶圓表面交替“沉積”多層金屬(導(dǎo)電)膜和介電(絕緣)膜,之后再通過重復(fù)的刻蝕工藝去除多余部分得到三維結(jié)構(gòu)。沉積工藝一般有以下三種方法:

① 化學(xué)氣相沉積

在化學(xué)氣象沉積中前驅(qū)氣體會在反應(yīng)腔發(fā)生化學(xué)反應(yīng)并生成附著在晶圓表面的薄膜以及被抽出腔室的副產(chǎn)物。等離子體增強(qiáng)化學(xué)氣相沉積則需要借助等離子體產(chǎn)生反應(yīng)氣體。這種方法降低了反應(yīng)溫度,因此非常適合對溫度敏感的結(jié)構(gòu)。使用等離子體還可以減少沉積次數(shù),往往可以帶來更高質(zhì)量的薄膜。

② 原子層沉積

原子層沉積通過每次只沉積幾個原子層從而形成薄膜。該方法的關(guān)鍵在于循環(huán)按一定順序進(jìn)行的獨立步驟并保持良好的控制。在晶圓表面涂覆前軀體是第一步,之后引入不同的氣體與前驅(qū)體反應(yīng)即可在晶圓表面形成所需的物質(zhì)。

③ 物理氣相沉積

顧名思義,物理氣相沉積是指通過物理手段形成薄膜。濺射就是一種物理氣相沉積方法,其原理是通過氬等離子體的轟擊讓靶材的原子濺射出來并沉積在晶圓表面形成薄膜。在某些情況下,可以通過紫外線熱處理(UVTP)等技術(shù)對沉積膜進(jìn)行處理并改善其性能。

4

摻雜/離子植入

想要形成NMOS管或PMOS管就需要向硅襯底中進(jìn)行摻雜,形成N溝道或是P溝道。摻雜的方法主要有以下幾個:

① 熱擴(kuò)散技術(shù)

對晶體加熱,使原子運動加劇,產(chǎn)生熱缺陷,通過濃度梯度,將雜質(zhì)原子擴(kuò)散到硅襯底中。

② 離子植入/注入

將雜質(zhì)原子電離成離子,并用強(qiáng)電場加速、讓這些離子獲得很高的動能,然后再直接轟擊晶體、并“擠”進(jìn)到里面去。這就是“注入”。當(dāng)然,采用離子注入技術(shù)摻雜時,必然會產(chǎn)生出許多晶格缺陷,同時也會有一些原子處在間隙中。所以,半導(dǎo)體在經(jīng)過離子注入以后,還必須要進(jìn)行所謂退火處理,以消除這些缺陷和使雜質(zhì)“激活”。

PART FOUR

BEOL-后道工藝

1

后道工藝——互連工藝

通過光刻,刻蝕和沉積工藝可以構(gòu)建出晶體管等元件,但是還需要將它們連接起來才能實現(xiàn)電力信號的發(fā)送與接收。用于互連的材料需要滿足以下條件:1.電阻率低;2.熱化學(xué)穩(wěn)定性高;3.高可靠性;4.制造成本低。

1

鋁互連工藝

鋁互連工藝始于鋁沉積,光刻膠應(yīng)用以及曝光與顯影,隨后通過刻蝕有些選擇的去除多余的鋁和光刻膠,然后才能進(jìn)入氧化過程。前述步驟完成后在不斷的重復(fù)光刻,刻蝕和沉積過程直到完成互連。這一步的互連采用的是前道工藝中的薄膜沉積。金屬一般采用PVD來附著在晶圓表面。

鋁有出色的導(dǎo)電性,容易光刻,刻蝕和沉積,成本低,與氧化層粘附效果好。缺點是容易腐蝕且熔點低。由于鋁會與硅反應(yīng)導(dǎo)致連接出現(xiàn)問題,所以在沉積前需要添加金屬沉積物阻擋鋁與襯底直接接觸。

2

銅互連工藝

銅的電阻更低,因此能實現(xiàn)更快的器件連接速度。銅的可靠性更高,因為它比鋁更能抵抗電遷移。但是銅不容易形成化合物,因此很難將其氣化并從晶圓的表面去除。采用沉積和刻蝕介電材料,這樣就可以在需要的地方形成由溝道和通路孔組成的金屬線路圖形,之后再將銅填入前述線路中即可實現(xiàn)互連,而最后的填入過程被稱為“鑲嵌工藝”。

2

后道工藝——測試

測試的主要目的是檢驗半導(dǎo)體芯片的質(zhì)量是否達(dá)到一定的標(biāo)準(zhǔn),從而消除不良產(chǎn)品,提高芯片的可靠性,減少后續(xù)損失。電子管芯分選(EDS)就是一種檢驗晶圓狀態(tài)中各芯片的電器特性并由此提升半導(dǎo)體良率的工藝。

1

電氣參數(shù)監(jiān)控(EPM)

EPM是測試的第一步,是確保集成電路中各個器件(晶體管,電容器,二極管)參數(shù)達(dá)標(biāo)。用于提高半導(dǎo)體制造工藝的效率和產(chǎn)品性能。

2

晶圓老化測試

半導(dǎo)體不良率主要來自兩個方面:制造缺陷(早期較高)和之后整個生命周期發(fā)生缺陷的比率。晶圓老化測試是指將晶圓置于一定的溫度和AC/DC電壓下進(jìn)行測試,找出可能在早期發(fā)生缺陷的產(chǎn)品。也就是通過發(fā)現(xiàn)潛在缺陷來提升產(chǎn)品的可靠性。

3

檢測

老化測試完成后就需要用探針卡將半導(dǎo)體芯片連接道測試裝置,之后就可以對晶圓進(jìn)行溫度,速度和運動測試以檢驗相關(guān)半導(dǎo)體功能。

4

修補(bǔ)

修補(bǔ)是最重要的測試步驟,因為某些不良芯片是可以修復(fù)的,只需要替換掉其中存在問題的元件即可。

5

點墨

未能通過電氣測試的芯片已經(jīng)在之前幾個步驟中被分揀出來,但還需要加上標(biāo)記才能區(qū)分它們。這個過程由系統(tǒng)更具測試數(shù)據(jù)值自動進(jìn)行分揀。

3

后道工藝——封裝

經(jīng)過前面幾個步驟的處理晶圓上會形成很多的晶片。這些晶片被切割后需要單獨處理,在其外部形成保護(hù)殼,讓他們能夠與外部交換電信號。這一步稱為封裝,整個封裝制程分為五步:

1

晶圓鋸切

首先要研磨,研磨后就可以沿著晶圓上的劃片線進(jìn)行切割,直到將芯片分離出來。晶圓鋸切技術(shù)分為刀片鋸切(容易產(chǎn)生摩擦和碎屑),激光切割(精度更高,厚度較?。?,等離子切割(等離子刻蝕原理)。

2

單個芯片附著

所有芯片都從晶圓上分離之后,我們需要將單獨的芯片附著在基底上(引線框架)?;椎淖饔檬潜Wo(hù)芯片并讓他們能與外部電路進(jìn)行電信號交換。附著芯片是可以使用液體或是固體帶狀粘合劑。

3

互連

將芯片附著在基底上之后,我們還需要將兩者連接。這里有兩種連接方法:1.使用細(xì)金屬線的引線鍵合。2.使用球形金塊或是錫塊的倒裝芯片鍵合。引線鍵合屬于傳統(tǒng)方法,倒裝芯片鍵合技術(shù)可加快半導(dǎo)體制造速度。

4

成型

完成上述工作后需要利用成型工藝給芯片外部加個包裝,來保護(hù)半導(dǎo)體集成電路不受溫度和濕度等外部條件影響。根據(jù)需要制成封裝模具后,將芯片和環(huán)氧模塑料(EMC)都放進(jìn)模具中進(jìn)行密封。

5

封裝測試

將最后封裝好的芯片進(jìn)行最后的缺陷測試。進(jìn)入最終測試的都是成品半導(dǎo)體芯片。它們將放入測試設(shè)備中,設(shè)定不同的條件例如電壓和溫度進(jìn)行電氣,功能和速度測試。這些測試結(jié)果可以用來發(fā)現(xiàn)缺陷,提高產(chǎn)品質(zhì)量和生產(chǎn)效率。

通過以上一系列的步驟,芯片就可以出廠流向客戶和下游廠家。之后經(jīng)過層層組裝,消費者們就可以在市場上購買到不同性能,不同型號的的電子產(chǎn)品。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 電容器
    +關(guān)注

    關(guān)注

    63

    文章

    6099

    瀏覽量

    98434
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26311

    瀏覽量

    209952
  • 單晶硅
    +關(guān)注

    關(guān)注

    7

    文章

    187

    瀏覽量

    28071
  • EDS
    EDS
    +關(guān)注

    關(guān)注

    0

    文章

    93

    瀏覽量

    11463
  • NMOS管
    +關(guān)注

    關(guān)注

    2

    文章

    118

    瀏覽量

    5336
收藏 人收藏

    評論

    相關(guān)推薦

    仿真技術(shù)在半導(dǎo)體和集成電路生產(chǎn)流程優(yōu)化中的應(yīng)用

    明計算機(jī)仿真手段在半導(dǎo)體集成電路生產(chǎn)流程優(yōu)化中的作用。中圖分類號:TN3關(guān)鍵字:EXTEND軟件包;仿真;半導(dǎo)體集成電路;制造工藝流程;排隊
    發(fā)表于 08-20 18:35

    有關(guān)半導(dǎo)體工藝的問題

    問個菜的問題:半導(dǎo)體(或集成電路)工藝   來個人講講 半導(dǎo)體工藝 集成電路工藝工藝
    發(fā)表于 09-16 11:51

    半導(dǎo)體工藝講座

    半導(dǎo)體工藝講座ObjectiveAfter taking this course, you will able to? Use common semiconductor terminology
    發(fā)表于 11-18 11:31

    芯片制造-半導(dǎo)體工藝制程實用教程

    芯片制造-半導(dǎo)體工藝制程實用教程學(xué)習(xí)筆記[/hide]
    發(fā)表于 11-18 11:44

    半導(dǎo)體制造工藝》學(xué)習(xí)筆記

    `《半導(dǎo)體制造工藝》學(xué)習(xí)筆記`
    發(fā)表于 08-20 19:40

    半導(dǎo)體制造

    制造半導(dǎo)體器件時,為什么先將導(dǎo)電性能介于導(dǎo)體和絕緣體之間的硅或鍺制成本征半導(dǎo)體,使之導(dǎo)電性極差,然后再用擴(kuò)散工藝在本征
    發(fā)表于 07-11 20:23

    簡述半導(dǎo)體超純水設(shè)備工藝流程及標(biāo)準(zhǔn)參考分析

      因為半導(dǎo)體生產(chǎn)過程中使用的藥水不同,生產(chǎn)工藝流程的差異,對純水的品質(zhì)要求也不一樣。最關(guān)鍵的指標(biāo)是:電導(dǎo)率(電阻率),總硅,pH值,顆粒度。線路板、半導(dǎo)體用純水因為本身工藝流程的不同
    發(fā)表于 08-12 16:52

    半導(dǎo)體制造的難點匯總

    。例如實現(xiàn)半導(dǎo)體制造設(shè)備、晶圓加工流程的自動化,目的是大幅度減少工藝中的操作者,因為人是凈化間中的主要沾污源。由于芯片快速向超大規(guī)模集成電路發(fā)展,芯片設(shè)計方法變化、特征尺寸減小。這些變化向工藝
    發(fā)表于 09-02 18:02

    《炬豐科技-半導(dǎo)體工藝》IC制造工藝

    `書籍:《炬豐科技-半導(dǎo)體工藝》文章:IC制造工藝編號:JFSJ-21-046作者:炬豐科技網(wǎng)址:http://www.wetsemi.com/index.html摘要:集成電路的
    發(fā)表于 07-08 13:13

    半導(dǎo)體工藝技術(shù)

    半導(dǎo)體制造流程以及各工位的詳細(xì)工藝技術(shù)。
    發(fā)表于 05-26 11:46 ?0次下載

    半導(dǎo)體工藝流程

    半導(dǎo)體工藝流程
    發(fā)表于 01-14 12:52 ?253次下載

    半導(dǎo)體制造工藝流程及其需要的設(shè)備和材料

    本文首先介紹了半導(dǎo)體制造工藝流程及其需要的設(shè)備和材料,其次闡述了IC晶圓生產(chǎn)線的7個主要生產(chǎn)區(qū)域及所需設(shè)備和材料,最后詳細(xì)的介紹了半導(dǎo)體制造工藝,具體的跟隨小編一起來了解一下。 一、
    發(fā)表于 09-04 14:03 ?7103次閱讀

    半導(dǎo)體知識 芯片制造工藝流程講解

    半導(dǎo)體知識 芯片制造工藝流程講解
    的頭像 發(fā)表于 01-26 11:10 ?4w次閱讀
    <b class='flag-5'>半導(dǎo)體</b>知識 芯片<b class='flag-5'>制造</b><b class='flag-5'>工藝流程</b>講解

    功率半導(dǎo)體分立器件工藝流程

    功率半導(dǎo)體分立器件的主要工藝流程包括:在硅圓片上加工芯片(主要流程為薄膜制造、曝光和刻蝕),進(jìn)行芯片封裝,對加工完畢的芯片進(jìn)行技術(shù)性能指標(biāo)測試,其中主要生產(chǎn)
    發(fā)表于 02-24 15:34 ?4321次閱讀

    半導(dǎo)體掩膜版制造工藝流程

    半導(dǎo)體掩膜版制造工藝流程 掩膜版(Photomask)又稱光罩,是液晶顯示器、半導(dǎo)體制造過程
    的頭像 發(fā)表于 08-19 13:20 ?392次閱讀
    <b class='flag-5'>半導(dǎo)體</b>掩膜版<b class='flag-5'>制造</b><b class='flag-5'>工藝</b>及<b class='flag-5'>流程</b>