0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

芯片驗證方法之極限驗證法簡析

數(shù)字芯片實驗室 ? 來源:數(shù)字芯片實驗室 ? 2023-09-27 10:05 ? 次閱讀

不管是做設(shè)計,還是驗證,相信大家都會有一些review會議上被問一些刁鉆的問題的經(jīng)歷。當(dāng)然,與會者問這些不那么容易回答的問題并非有意為難,大多數(shù)時候只是純粹的好奇心。

極限驗證法采用的途徑是向芯片提出很多難以回答的問題。比如如何使芯片發(fā)揮到大程度?哪個特性會使芯片運行到其設(shè)計極限?哪些輸入和數(shù)據(jù)會耗費芯片多的運算能力?哪些輸入可能無法進入錯誤處理邏輯?如果芯片用于產(chǎn)生某些特定輸出時,使用哪些輸入和內(nèi)部數(shù)據(jù)可以不斷挑戰(zhàn)芯片的這能力?

很顯然,根據(jù)被測芯片的不同,提出的問題也會不同。對于一個計算模塊,可能面臨著各種錯綜復(fù)雜的輸入數(shù)據(jù)格式和數(shù)量。

某個cnt是否存在越界,越界之后如何處理?

某兩個處理過程能夠并行?

當(dāng)數(shù)據(jù)還未處理完成的時候能夠改變某些寄存器配置。

這個驗證法對每個芯片都不同,但是想法是相同的:

向芯片提出最困難的問題,這樣就有可能發(fā)現(xiàn)芯片的能力和具體實現(xiàn)之間的差異。

這個驗證法有一個變種,就是找麻煩驗證法。驗證時該方法要求驗證人員故意設(shè)置各種障礙來看芯片如何應(yīng)對。有一個非常典型的例子:

一個測試工程師走進一家酒吧,要了一杯啤酒
一個測試工程師走進一家酒吧,要了一杯咖啡
一個測試工程師走進一家酒吧,要了0.7杯啤酒
一個測試工程師走進一家酒吧,要了-1杯啤酒
一個測試工程師走進一家酒吧,要了2^32杯啤酒
一個測試工程師走進一家酒吧,要了一杯洗腳水
一個測試工程師走進一家酒吧,要了一杯蜥蜴
一個測試工程師走進一家酒吧,要了一份asdfQwer@24dg!&*(@
一個測試工程師走進一家酒吧,什么也沒要
一個測試工程師走進一家酒吧,又走出去又從窗戶進來又從后門出去從下水道鉆進來
一個測試工程師走進一家酒吧,又走出去又進來又出去又進來又出去,最后在外面把老板打了一頓
一個測試工程師走進一
一個測試工程師走進一家酒吧,要了一杯燙燙燙的錕斤拷
一個測試工程師走進一家酒吧,要了NaN杯Null
1T測試工程師沖進一家酒吧,要了500T啤酒咖啡洗腳水野貓狼牙棒奶茶
1T測試工程師把酒吧拆了
一個測試工程師化裝成老板走進一家酒吧,要了500杯啤酒并且不付錢
一萬個測試工程師在酒吧門外呼嘯而過
一個測試工程師走進一家酒吧,要了一杯啤酒';DROP TABLE 酒吧
測試工程師們滿意地離開了酒吧。
然后一名顧客點了一份炒飯,酒吧炸了

總之,所做的一切不一定要有什么實際意義,這么做的原因只是因為芯片允許這么做。畢竟,我們知道有時候有的芯片用戶真的會這么做。

這個驗證法及其變種能發(fā)現(xiàn)各種類型的缺陷,從非常重要的缺陷到簡單甚至愚蠢的缺陷。它取決于極限驗證人員的駕取能力。驗證人員必須可以區(qū)分哪些是純屬發(fā)難的問題,哪些是可以讓芯片正確運行的問題。

在驗證中,應(yīng)盡力去創(chuàng)建那些用戶真正使用的應(yīng)用場景,這么做的結(jié)果是讓人們知道所發(fā)現(xiàn)的那些缺陷確實會影響到最終用戶,并且應(yīng)該被及時修復(fù)。






審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 芯片驗證
    +關(guān)注

    關(guān)注

    5

    文章

    34

    瀏覽量

    47143

原文標(biāo)題:芯片驗證方法之極限驗證法

文章出處:【微信號:數(shù)字芯片實驗室,微信公眾號:數(shù)字芯片實驗室】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    基于VMM驗證方法學(xué)的MCU驗證環(huán)境

    隨著SystemVerilog成為IEEE的P1800規(guī)范,越來越多的項目開始采用基于SystemVerilog的驗證方法學(xué)來獲得更多的重用擴展性、更全面的功能覆蓋率,以及更合理的層次化驗證結(jié)構(gòu)
    發(fā)表于 03-24 14:07 ?3194次閱讀

    基于VMM驗證方法學(xué)的MCU驗證環(huán)境

    1 簡介 隨著設(shè)計的復(fù)雜程度不斷增加,要求把更多的資源放到驗證上,不但要求驗證能夠覆蓋所有的功能,還希望能夠給出大量的異常情況來檢查DUT對應(yīng)異常的處理狀態(tài),這在傳統(tǒng)測試方法下往往是難以實現(xiàn)
    的頭像 發(fā)表于 08-25 16:45 ?771次閱讀

    高頻RFID芯片的FPGA原型驗證平臺設(shè)計及驗證

    ?;贔PGA的原型驗證方法憑借其速度快、易修改、真實性的特點,已經(jīng)成為ASIC芯片設(shè)計中重要的驗證方法。本文主要描述高頻RFID
    發(fā)表于 05-29 08:03

    基于VMM驗證方法學(xué)的MCU驗證環(huán)境實現(xiàn)方法介紹

    1 簡介隨著設(shè)計的復(fù)雜程度不斷增加,要求把更多的資源放到驗證上,不但要求驗證能夠覆蓋所有的功能,還希望能夠給出大量的異常情況來檢查DUT對應(yīng)異常的處理狀態(tài),這在傳統(tǒng)測試方法下往往是難以實現(xiàn)的。此外
    發(fā)表于 07-03 07:40

    如何利用BP神經(jīng)網(wǎng)絡(luò)方法模擬電路故障診斷系統(tǒng)?

    隨著現(xiàn)代電子技術(shù)的飛速發(fā)展,大規(guī)模、超大規(guī)模集成電路越來越普及,常規(guī)的故障診斷方法如故障字典法、參數(shù)識別法、故障驗證法等由于其局限性已不再適用。模擬電路的可靠性直接制約著整個電子設(shè)備或系統(tǒng)的可靠性,是一個系統(tǒng)能不能正常運行的關(guān)鍵。
    發(fā)表于 08-13 07:39

    驗證方法簡介

    驗證方法簡介 設(shè)計驗證是用于證明設(shè)計正確性的過程,要求和規(guī)格。 在數(shù)字設(shè)計流程中,驗證可確保芯片按照設(shè)計意圖正確運行,然后再將設(shè)計送去制造。
    發(fā)表于 02-13 17:03

    硬件驗證方法簡明介紹

    硬件驗證方法簡明介紹本書“硬件驗證方法簡明介紹”是“半導(dǎo)體 IP 核——不僅僅是設(shè)計”系列叢書中“驗證 IP 和 IP 核
    發(fā)表于 11-26 20:43

    分層驗證法在基于AMBA系統(tǒng)中的應(yīng)用

    分層驗證法在基于AMBA系統(tǒng)中的應(yīng)用 在基于AMBA(Advanced Microcontroller Bus Architecture,先進的微控制器總線體系結(jié)構(gòu))的系統(tǒng)中,用戶設(shè)計的模塊和第三方IP模塊與AMBA AHB(Advanced High-p
    發(fā)表于 03-28 17:05 ?743次閱讀

    ASIC靜態(tài)驗證方法

    介紹了基于深亞微米 CMOS 工藝A S IC 電路設(shè)計流程中的靜態(tài)驗證方法。將這種驗證方法與以往的動態(tài)驗證
    發(fā)表于 06-21 15:05 ?0次下載
    ASIC靜態(tài)<b class='flag-5'>驗證</b><b class='flag-5'>方法</b>

    基于OVM驗證平臺的IP芯片驗證

      芯片驗證的工作量約占整個芯片研發(fā)的70%,已然成為縮短芯片上市時間的瓶頸。應(yīng)用OVM方法學(xué)搭建SoC設(shè)計中的DMA IP
    發(fā)表于 06-20 09:03 ?2777次閱讀

    基于FPGA的驗證平臺及有效的SoC驗證過程和方法

    設(shè)計了一種基于FPGA的驗證平臺及有效的SoC驗證方法,介紹了此FPGA驗證軟硬件平臺及軟硬件協(xié)同驗證架構(gòu),討論和分析了利用FPGA軟硬件
    發(fā)表于 11-17 03:06 ?1.3w次閱讀
    基于FPGA的<b class='flag-5'>驗證</b>平臺及有效的SoC<b class='flag-5'>驗證</b>過程和<b class='flag-5'>方法</b>

    MCU芯片驗證

    第二章 驗證flow驗證的Roadmap驗證的目標(biāo)UVM驗證方法學(xué)ASIC驗證分解
    發(fā)表于 10-25 12:36 ?24次下載
    MCU<b class='flag-5'>芯片</b>級<b class='flag-5'>驗證</b>

    數(shù)字芯片驗證流程

    芯片驗證就是采用相應(yīng)的驗證語言,驗證工具,驗證方法,在芯片
    的頭像 發(fā)表于 07-25 11:48 ?5807次閱讀

    FPGA邏輯設(shè)計與驗證流程

    靜態(tài)時序分析是一種重要的邏輯驗證方法,設(shè)計者根據(jù)靜態(tài)時序分 的結(jié)果來修改和優(yōu)化邏輯,直到設(shè)計滿足要求。
    發(fā)表于 11-11 09:42 ?634次閱讀

    User guide驗證法介紹

    針對用戶的使用手冊經(jīng)常歸納出一些事先選好的操作方式。對于常用的初始化、正常處理、中斷處理等給出了輸入序列建議。
    的頭像 發(fā)表于 09-25 10:00 ?719次閱讀