0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

基于FPGA的呼吸燈設(shè)計

CHANBAEK ? 來源:小小的電子之路 ? 作者:小小的電子之路 ? 2023-09-27 11:43 ? 次閱讀

呼吸燈主要是利用PWM的方式,在固定的頻率下,通過調(diào)整占空比的方式來控制LED燈的亮度的變化,從而實現(xiàn)由暗漸亮再由亮漸暗的過程。

呼吸燈的整個FPGA實現(xiàn)流程主要由四個模塊組成,分別是計數(shù)器模塊,調(diào)節(jié)值產(chǎn)生模塊,計數(shù)方向模塊以及占空比調(diào)節(jié)模塊組成。

計數(shù)器模塊:計數(shù)器設(shè)置為五進制計數(shù)器,其輸出記為counter;

調(diào)節(jié)值產(chǎn)生模塊:為五進制加減計數(shù)器,用以產(chǎn)生占空比調(diào)節(jié)的比較值,其輸出記為compare;

計數(shù)方向模塊:通過高低電平控制調(diào)節(jié)值產(chǎn)生模塊的計數(shù)方向,其輸出記為flag;

占空比調(diào)節(jié)模塊:通過比較counter和compare的值來輸出不同電平控制LED燈。

圖片

counter通過與compare相比較來產(chǎn)生不同的電平,為實現(xiàn)占空比不斷變化,即高電平持續(xù)時間不斷變化,compare需不斷變化,但是在一個周期內(nèi),compare需固定不變,因此,每當(dāng)計數(shù)器模塊溢出一次,compare需隨之改變(加1或減1),其方向由flag控制。

(1)若flag=0,counter=4,compare!=3,則加計數(shù);

圖片

圖片

(2)若flag=0,counter=4,compare=3,則flag置1;

圖片

圖片

(3)若flag=1,counter=4,compare!=1,則減計數(shù);

圖片

圖片

(4)若flag=1,counter=4,compare=1,則flag置0.

圖片

圖片

從最終的實現(xiàn)結(jié)果可以看出,輸出信號的占空比在不斷變化,實現(xiàn)了設(shè)計要求。需要注意的是,為了使呼吸燈的實驗現(xiàn)象便于觀察,需要適當(dāng)延長計數(shù)器的計數(shù)周期。

圖片

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598909
  • led燈
    +關(guān)注

    關(guān)注

    22

    文章

    1588

    瀏覽量

    107399
  • PWM
    PWM
    +關(guān)注

    關(guān)注

    114

    文章

    5058

    瀏覽量

    212190
  • 計數(shù)器
    +關(guān)注

    關(guān)注

    32

    文章

    2241

    瀏覽量

    93973
  • 呼吸燈
    +關(guān)注

    關(guān)注

    10

    文章

    108

    瀏覽量

    42634
收藏 人收藏

    評論

    相關(guān)推薦

    基于CPLD/FPGA呼吸效果實現(xiàn)(附全部verilog源碼)(by 大飛)

    你的CPLD/FPGA里吧,瞬間實現(xiàn)你盼望已久的呼吸效果,賦予她(LED)生命的氣息! 2. 曬干貨例化時,僅需要按您的需求修改代碼中帶★號的參數(shù)ps. 只有一處需要修改哦親
    發(fā)表于 11-19 22:58

    xilinx ISE 中異步FIFO ip的使用、仿真及各信號的討論(圖文教程)

    傳輸協(xié)議b2s (附全部verilog源碼)2.脈沖信號正常與否的判斷(附全部verilog源碼)3.基于CPLD/FPGA呼吸效果實現(xiàn)(附全部verilog源碼)4.“同頻異寬”脈沖的選擇(附
    發(fā)表于 07-16 15:09

    霧盈FPGA筆記之(一):基于FPGA呼吸簡單實驗程序(Verilog)

    ` 本帖最后由 西疆木 于 2016-8-29 10:37 編輯 基于FPGA呼吸簡單實驗程序(Verilog)霧盈 2016-7-26 1.呼吸
    發(fā)表于 07-28 19:03

    FPGA呼吸

    基于FPGA呼吸一、目標(biāo)1、占空比(pwm)的理解。2、計數(shù)器的應(yīng)用。3、分頻的應(yīng)用。二、要求四個LED從暗逐漸變亮,然后從亮逐漸變暗形成呼吸
    發(fā)表于 10-26 21:01

    基于CPLD/FPGA呼吸效果實現(xiàn)_呼吸源碼_明德?lián)P資料

    特效呼吸1功能概述顧名思義,特效呼吸是指在電路控制之下,LED燈光完成由滅到亮、由亮到滅的逐漸變化,感覺像是在呼吸一樣。最原始的表現(xiàn)形式
    發(fā)表于 08-02 18:03

    至芯昭哥帶你學(xué)FPGAFPGA_100天之旅_呼吸

    至芯昭哥帶你學(xué)FPGAFPGA_100天之旅_呼吸
    發(fā)表于 08-22 09:54

    如何制作鍵盤呼吸

    說到呼吸的設(shè)計,也許大家最先想到的就是蘋果。很多人也都想自己做一個呼吸,起到裝飾和工作狀態(tài)指示效果。下面,我們就介紹幾種呼吸
    發(fā)表于 06-11 10:04 ?6310次閱讀
    如何制作鍵盤<b class='flag-5'>呼吸</b><b class='flag-5'>燈</b>

    呼吸有什么用_華為呼吸在哪設(shè)置

    本文開始介紹了呼吸的概念和呼吸的作用,其次介紹了呼吸電路以及修改手機
    發(fā)表于 03-29 08:29 ?7.3w次閱讀
    <b class='flag-5'>呼吸</b><b class='flag-5'>燈</b>有什么用_華為<b class='flag-5'>呼吸</b><b class='flag-5'>燈</b>在哪設(shè)置

    基于FPGA呼吸設(shè)計

    呼吸的效果是LED在一段時間內(nèi)從完全熄滅的狀態(tài)逐漸變到最亮,再在同樣的時間段內(nèi)逐漸達到完全熄滅的狀態(tài)。這里我們需要實現(xiàn)1s內(nèi)實現(xiàn)從滅到亮,1s內(nèi)從亮到滅。
    的頭像 發(fā)表于 06-27 17:39 ?1171次閱讀
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>呼吸</b><b class='flag-5'>燈</b>設(shè)計

    仿真測試:呼吸PWM

    呼吸的效果是逐漸由暗變亮再逐漸由亮變暗,FPGA的引腳電壓只有“0”和“1”兩個等級。
    的頭像 發(fā)表于 10-10 14:52 ?894次閱讀
    仿真測試:<b class='flag-5'>呼吸</b><b class='flag-5'>燈</b>PWM