0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

基于FPGA的高速數(shù)據(jù)采集系統(tǒng)該怎么設(shè)計(jì)?

FPGA設(shè)計(jì)論壇 ? 來源:未知 ? 2023-10-06 14:55 ? 次閱讀

點(diǎn)擊上方藍(lán)字關(guān)注我們

wKgaomUtDwmAKNQwAAAAxmHeATo923.pngwKgaomUtDwmAcc1IAAAC9hV8I20187.png

目前,在數(shù)據(jù)采集系統(tǒng)的硬件設(shè)計(jì)方案中,有采用通用單片機(jī)USB相結(jié)合的方案,也有采用DSP和USB相結(jié)合的方案,前者雖然硬件成本低,但是時(shí)鐘頻率較低,難以滿足數(shù)據(jù)采集系統(tǒng)對(duì)速度要求;后者雖然可以實(shí)現(xiàn)高速傳輸,但DSP價(jià)格過于昂貴。而利用FPGA和USB接口芯片結(jié)合的方案,具有功耗低、時(shí)鐘頻率高、速度快、效率高、組合形式靈活等特點(diǎn),是單片機(jī)和DSP所無法比擬的。

系統(tǒng)總體方案

根據(jù)本系統(tǒng)要實(shí)現(xiàn)的功能,該系統(tǒng)硬件部分采用“信號(hào)調(diào)理電路+A/D轉(zhuǎn)換電路+FPGA+USB 2.0接口芯片”的模式加以設(shè)計(jì)。系統(tǒng)采用可編程邏輯器件FPGA控制信號(hào)調(diào)理電路的工作,同時(shí)控制A/D轉(zhuǎn)換器采樣,這樣通過硬件描述語言來描述可以使硬件設(shè)計(jì)如同軟件一樣靈活,并且FPGA可重復(fù)編程的特性提高了工作效率和設(shè)計(jì)的靈活性。另外系統(tǒng)所需的高速緩存FIFO是由USB 2.0接口芯片的片內(nèi)資源來實(shí)現(xiàn)的,這樣做可以降低系統(tǒng)成本、提高系統(tǒng)可靠性。USB 2.0接口芯片的固件程序由集成在芯片內(nèi)的增強(qiáng)型8051單片機(jī)進(jìn)行控制,固件程序存放在主機(jī)上,在系統(tǒng)上電后通過上位機(jī)驅(qū)動(dòng)程序下載到芯片內(nèi)部的RAM內(nèi)。系統(tǒng)的總體方案如圖1所示。

wKgaomUtDwmAG9mcAAAWzPhCT6Q202.jpg

圖1 數(shù)據(jù)采集系統(tǒng)結(jié)構(gòu)圖

整個(gè)硬件電路的工作流程是:當(dāng)上位機(jī)發(fā)來數(shù)據(jù)采集請(qǐng)求,F(xiàn)PGA就開始啟動(dòng)對(duì)信號(hào)調(diào)理模塊和A/D采樣控制模塊的控制。經(jīng)過A/D轉(zhuǎn)換后的數(shù)據(jù)按照一定的時(shí)序發(fā)送到USB 2.0接口芯片的端口FIFO里面,然后再把數(shù)據(jù)通過USB總線傳輸給上位機(jī)。

系統(tǒng)硬件設(shè)計(jì)與實(shí)現(xiàn)

本數(shù)據(jù)采集系統(tǒng)的硬件設(shè)計(jì)主要是基于USB 2.0的硬件數(shù)據(jù)采集卡的設(shè)計(jì)。此采集卡的作用是首先用A/D轉(zhuǎn)換器對(duì)輸入的電路實(shí)驗(yàn)數(shù)據(jù)進(jìn)行采樣量化,然后將采樣得到的數(shù)據(jù)通過USB 2.0總線傳送到上位機(jī),以便進(jìn)行后續(xù)處理。

主控芯片簡(jiǎn)介

Altera公司的Cyclone II FPGA是基于Stratix II的90nm工藝推出的低成本FPGA,由于增加了DSP硬件塊,在芯片總體性能上要優(yōu)于Cyclone系列器件,而在成本上卻仍維持Cyclone系列低成本的優(yōu)點(diǎn)。本設(shè)計(jì)選用了Cyclone II系列中的EP2C5Q208芯片,其邏輯單元有4608個(gè),M4K RAM有26個(gè),鎖相環(huán)有2個(gè)、乘法器模塊有13個(gè),最大用戶可用I/O引腳為142個(gè),可以滿足本設(shè)計(jì)的需要。圖2為EP2C5Q208的外圍連接電路框圖。

wKgaomUtDwmACwdxAAAi4RIbngY181.jpg

圖2 主控芯片連接框圖

電源電路

設(shè)計(jì)電源電路之前,首先對(duì)系統(tǒng)中各器件所需電源的需求情況進(jìn)行分析,電源電路需要提供5種直流電壓才能滿足系統(tǒng)對(duì)電源的需求:FPGA的供電電壓是3.3V和1.2V;信號(hào)調(diào)理電路電壓為+12V和-12V;A/D轉(zhuǎn)換器ADC0809所需要的電壓為5V;USB 2.0接口芯片CY7C68013需要3.3V供電。而系統(tǒng)只有USB總線能提供+5V電壓,因此需使用開關(guān)電源進(jìn)行電壓轉(zhuǎn)換。電壓轉(zhuǎn)換電路如圖3所示。

wKgaomUtDwmAPYEaAABkf1stO_A061.jpg

圖3 電源電路

信號(hào)調(diào)理電路

信號(hào)調(diào)理電路的作用是對(duì)微弱的輸入信號(hào)進(jìn)行適當(dāng)?shù)恼{(diào)理,使其電壓范圍在0~5V之間,從而滿足A/D轉(zhuǎn)換芯片的輸入電壓的要求。本調(diào)理電路中采用了運(yùn)算放大器芯片AD827。該芯片最高帶寬為50MHz,芯片內(nèi)部集成2片運(yùn)放,分別用來進(jìn)行信號(hào)的放大平移和衰減。信號(hào)調(diào)理電路如圖4所示。

wKgaomUtDwuAUUMYAAAYNc0OAeQ494.jpg

圖4 信號(hào)調(diào)理電路

圖中左半部分為第一個(gè)環(huán)節(jié),這個(gè)環(huán)節(jié)輸入電壓V1,輸出電壓為V2,對(duì)于微弱的輸入信號(hào),可以經(jīng)過調(diào)理達(dá)到正常電平范圍之內(nèi)。右半部分為第二個(gè)環(huán)節(jié),這個(gè)環(huán)節(jié)是信號(hào)的衰減環(huán)節(jié),若第一個(gè)環(huán)節(jié)得到的電壓幅值較大,超過了0~5V的電壓范圍,則可以將此信號(hào)在第二個(gè)環(huán)節(jié)進(jìn)行衰減。第二個(gè)環(huán)節(jié)的最大增益為1,通過調(diào)節(jié)電位器,可以使信號(hào)最小衰減為0。這樣,信號(hào)經(jīng)過信號(hào)調(diào)理電路之后,就可以滿足A/D采樣的要求了。

A/D采樣電路

經(jīng)過調(diào)理后的模擬信號(hào)需要經(jīng)A/D轉(zhuǎn)換芯片進(jìn)行采樣、量化、編碼,然后將編碼后的數(shù)據(jù)經(jīng)過USB 2.0接口芯片傳送至USB主機(jī),A/D采樣電路是整個(gè)數(shù)據(jù)采集電路的關(guān)鍵部分。本設(shè)計(jì)所使用的A/D采樣芯片是ADC0809轉(zhuǎn)換芯片,它是通過采樣逐次逼近的方法完成A/D轉(zhuǎn)換的。ADC0809由單一+5V電源供電,片內(nèi)帶有鎖存功能的8路模擬多路開關(guān),可以對(duì)8路0~5V的模擬信號(hào)分時(shí)進(jìn)行轉(zhuǎn)換。A/D轉(zhuǎn)化器電路的連接如圖5所示,其中,參考電壓VREF+接+5V,VREF-接地,輸入模擬電壓范圍為0~5V。

wKgaomUtDwuAVfcOAAA2LjsreiE800.jpg

圖5 A/D采樣電路

wKgaomUtDwyAIhjOAAAlfnkDxk0783.jpg

圖6 從屬FIFO模式連接框圖

USB 2.0接口電路

Cypress公司的EZ-USB FX2系列芯片是世界上第一個(gè)集成了USB 2.0協(xié)議的微處理器,它支持12Mb/s的全速傳輸和480Mb/s的高速傳輸,可使用4種USB傳輸方式。本系統(tǒng)采用EZ-USB FX2系列中CY7C68013-56PVC芯片。該芯片集成了USB 2.0收發(fā)器、串行接口引擎SIE(Serial Interface Engine)、8.5KB的RAM、4KB的FIFO存儲(chǔ)器、I/O口、通用可編程接口GPIF(General Programmable Interface)。

由于在EZ-USB FX2的內(nèi)部嵌入了增強(qiáng)型的8051,所以一般的數(shù)據(jù)傳輸都可以通過8051來控制實(shí)現(xiàn),但是因?yàn)?051本身的工作頻率較低,限制了與外圍電路之間的數(shù)據(jù)傳輸速度的進(jìn)一步提高。雖然這種限制在12Mb/s的全速模式下不是很明顯,但是當(dāng)數(shù)據(jù)傳輸速度提升到高速時(shí),8051將成為系統(tǒng)的帶寬瓶頸。為此,本設(shè)計(jì)選擇采用了從屬FIFO接口模式進(jìn)行傳輸,這樣8051就不會(huì)參與數(shù)據(jù)的傳輸,但它可以通過FIFO或RAM的方式訪問所傳輸?shù)臄?shù)據(jù)。由于篇幅限制,在此只給出其連接框圖。

系統(tǒng)軟件設(shè)計(jì)

本系統(tǒng)軟件的設(shè)計(jì)主要包括A/D轉(zhuǎn)換控制程序、USB設(shè)備固件程序(Firmware)、主機(jī)USB設(shè)備驅(qū)動(dòng)程序、主機(jī)端應(yīng)用程序和遠(yuǎn)程客戶端應(yīng)用程序幾個(gè)模塊。系統(tǒng)的軟件構(gòu)成框圖如圖7所示。

wKgaomUtDwyAMoYVAAAXZYjaLw0790.jpg

圖7 系統(tǒng)軟件設(shè)計(jì)框圖

A/D轉(zhuǎn)換控制程序主要是控制A/D轉(zhuǎn)換芯片的工作時(shí)序,然后把轉(zhuǎn)換完成后的數(shù)字信號(hào)發(fā)送到FPGA內(nèi)部。本設(shè)計(jì)的該程序部分是在可編程邏輯器件FPGA中實(shí)現(xiàn)的。

芯片CY7C68013的固件程序負(fù)責(zé)處理PC機(jī)發(fā)來的各種USB請(qǐng)求,以完成主機(jī)和外圍電路之間的數(shù)據(jù)傳輸,例如,完成對(duì)接口芯片的I/O端口和存儲(chǔ)單元的初始化;設(shè)置CPU時(shí)鐘頻率,配置相應(yīng)端點(diǎn)的工作狀態(tài);初始化工作模式和數(shù)據(jù)傳輸方式;響應(yīng)各種主機(jī)發(fā)送來的請(qǐng)求等。

設(shè)備驅(qū)動(dòng)程序的主要功能是使Win32應(yīng)用程序能夠正確訪問本采集電路的硬件設(shè)備。本系統(tǒng)設(shè)計(jì)的設(shè)備驅(qū)動(dòng)程序是以Microsoft的設(shè)備驅(qū)動(dòng)開發(fā)包DDK(Device Driver Kit)為開發(fā)工具,以微軟驅(qū)動(dòng)模型WDM(Windows Driver Model)的形式編寫的。應(yīng)用程序通過API函數(shù)發(fā)出相應(yīng)命令以后,設(shè)備驅(qū)動(dòng)程序以I/O請(qǐng)求包IRP(I/O Request Packets)的形式封裝該命令,并把它傳遞給底層的總線驅(qū)動(dòng)程序USBD(Universal Serial Bus Driver),從而達(dá)到與硬件設(shè)備進(jìn)行通信的目的,最后總線驅(qū)動(dòng)程序給上層驅(qū)動(dòng)程序返回一個(gè)狀態(tài)信號(hào)來告知本次通信是否成功完成。

主機(jī)端應(yīng)用程序的主要功能是接收硬件設(shè)備發(fā)送的數(shù)據(jù),并且把采集到的數(shù)據(jù)進(jìn)行顯示和存儲(chǔ)。

結(jié)論

本文主要完成了一種高速數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì),該數(shù)據(jù)采集系統(tǒng)利用高性能FPGA、高速A/D轉(zhuǎn)換器、高速USB 2.0接口芯片,是一種具有高速USB接口的外置式數(shù)據(jù)采集卡,信號(hào)的處理都是在PC機(jī)上完成的,這樣不僅縮小了電路體積,提高了系統(tǒng)的穩(wěn)定性,而且節(jié)約了系統(tǒng)成本。

wKgaomUtDwyATfYmAAAJM7aZU1A329.png

有你想看的精彩 至芯科技FPGA就業(yè)培訓(xùn)班——助你步入成功之路、9月23號(hào)北京中心開課、歡迎咨詢! 利用FPGA設(shè)計(jì)基于LVDS的圖像數(shù)據(jù)采集傳輸系統(tǒng) 流水線ADC的內(nèi)部結(jié)構(gòu)和工作原理是什么

wKgaomUtDwyAQDWeAABUdafP6GM538.jpg

掃碼加微信邀請(qǐng)您加入FPGA學(xué)習(xí)交流群

wKgaomUtDwyAFAkUAABiq3a-ogY217.jpgwKgaomUtDwyAD62qAAACXWrmhKE641.png

歡迎加入至芯科技FPGA微信學(xué)習(xí)交流群,這里有一群優(yōu)秀的FPGA工程師、學(xué)生、老師、這里FPGA技術(shù)交流學(xué)習(xí)氛圍濃厚、相互分享、相互幫助、叫上小伙伴一起加入吧!

wKgaomUtDwyAcLYJAAAGU7g5tvo110.png

點(diǎn)個(gè)在看你最好看

wKgaomUtDw2AW3PxAAAGU7g5tvo535.png


原文標(biāo)題:基于FPGA的高速數(shù)據(jù)采集系統(tǒng)該怎么設(shè)計(jì)?

文章出處:【微信公眾號(hào):FPGA設(shè)計(jì)論壇】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598871

原文標(biāo)題:基于FPGA的高速數(shù)據(jù)采集系統(tǒng)該怎么設(shè)計(jì)?

文章出處:【微信號(hào):gh_9d70b445f494,微信公眾號(hào):FPGA設(shè)計(jì)論壇】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    1GSPS高速數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)

    電子發(fā)燒友網(wǎng)站提供《1GSPS高速數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn).pdf》資料免費(fèi)下載
    發(fā)表于 09-20 11:45 ?0次下載

    高速數(shù)據(jù)采集系統(tǒng)的時(shí)序設(shè)計(jì)與信號(hào)完整性分析

    電子發(fā)燒友網(wǎng)站提供《超高速數(shù)據(jù)采集系統(tǒng)的時(shí)序設(shè)計(jì)與信號(hào)完整性分析.pdf》資料免費(fèi)下載
    發(fā)表于 09-20 11:34 ?0次下載

    高速分切機(jī)數(shù)據(jù)采集監(jiān)控告警系統(tǒng)解決方案

    的問題。對(duì)此,物通博聯(lián)提供基于工業(yè)智能網(wǎng)關(guān)的數(shù)據(jù)采集監(jiān)控告警系統(tǒng)解決方案,旨在提升生產(chǎn)設(shè)備的智能化管理水平。 1、數(shù)據(jù)采集 高效的數(shù)據(jù)采集是實(shí)現(xiàn)全面監(jiān)控的基礎(chǔ)。傳統(tǒng)的
    的頭像 發(fā)表于 08-13 15:23 ?246次閱讀
    <b class='flag-5'>高速</b>分切機(jī)<b class='flag-5'>數(shù)據(jù)采集</b>監(jiān)控告警<b class='flag-5'>系統(tǒng)</b>解決方案

    ADS869x 18位、高速、單電源、SAR ADC數(shù)據(jù)采集系統(tǒng)數(shù)據(jù)

    電子發(fā)燒友網(wǎng)站提供《ADS869x 18位、高速、單電源、SAR ADC數(shù)據(jù)采集系統(tǒng)數(shù)據(jù)表.pdf》資料免費(fèi)下載
    發(fā)表于 07-19 10:40 ?1次下載
    ADS869x 18位、<b class='flag-5'>高速</b>、單電源、SAR ADC<b class='flag-5'>數(shù)據(jù)采集</b><b class='flag-5'>系統(tǒng)</b><b class='flag-5'>數(shù)據(jù)</b>表

    ADS867x 14位、高速、單電源、SAR ADC數(shù)據(jù)采集系統(tǒng)數(shù)據(jù)

    電子發(fā)燒友網(wǎng)站提供《ADS867x 14位、高速、單電源、SAR ADC數(shù)據(jù)采集系統(tǒng)數(shù)據(jù)表.pdf》資料免費(fèi)下載
    發(fā)表于 07-19 10:40 ?0次下載
    ADS867x 14位、<b class='flag-5'>高速</b>、單電源、SAR ADC<b class='flag-5'>數(shù)據(jù)采集</b><b class='flag-5'>系統(tǒng)</b><b class='flag-5'>數(shù)據(jù)</b>表

    典型的數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)及其核心元件

    本文簡(jiǎn)要介紹了典型的數(shù)據(jù)采集系統(tǒng)及其核心元件。然后介紹 AnalogDevices Inc 的數(shù)據(jù)采集 (DAQ) 模塊,模塊集成了許多關(guān)鍵元件,可提供穩(wěn)定的 18 位、2 兆次采樣
    的頭像 發(fā)表于 07-18 10:28 ?1173次閱讀
    典型的<b class='flag-5'>數(shù)據(jù)采集</b><b class='flag-5'>系統(tǒng)</b>設(shè)計(jì)及其核心元件

    多通道數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)的意義

    多通道數(shù)據(jù)采集系統(tǒng)(Multi-channel Data Acquisition System, 簡(jiǎn)稱MDAS)是一種能夠同時(shí)采集、處理和存儲(chǔ)多個(gè)信號(hào)源數(shù)據(jù)的電子設(shè)備。這種
    的頭像 發(fā)表于 07-02 09:00 ?371次閱讀

    高速數(shù)據(jù)采集系統(tǒng)屬于微電子產(chǎn)品嗎

    不屬于。高速數(shù)據(jù)采集系統(tǒng)(High-Speed Data Acquisition System,簡(jiǎn)稱HS-DAS)是一種用于快速、準(zhǔn)確地收集和處理大量數(shù)據(jù)的電子
    的頭像 發(fā)表于 07-01 15:47 ?209次閱讀

    數(shù)據(jù)采集系統(tǒng)分為幾類

    數(shù)據(jù)采集系統(tǒng)是大數(shù)據(jù)生態(tài)系統(tǒng)中的重要組成部分,它負(fù)責(zé)從各種數(shù)據(jù)源收集、整合和存儲(chǔ)數(shù)據(jù)。根據(jù)不同
    的頭像 發(fā)表于 07-01 15:44 ?1034次閱讀

    高速視頻采集卡設(shè)計(jì)方案:620-基于PCIe的高速視頻采集

    實(shí)驗(yàn)室數(shù)據(jù)采集 , FPGA邏輯視頻采集 , 高速視頻采集卡 , PCIe視頻采集卡 , 視頻程
    的頭像 發(fā)表于 01-05 09:44 ?599次閱讀
    <b class='flag-5'>高速</b>視頻<b class='flag-5'>采集</b>卡設(shè)計(jì)方案:620-基于PCIe的<b class='flag-5'>高速</b>視頻<b class='flag-5'>采集</b>卡

    AD采集卡設(shè)計(jì)方案:630-基于PCIe的高速模擬AD采集

    AD采集卡 , 高速數(shù)據(jù)采集系統(tǒng) , 實(shí)驗(yàn)室數(shù)據(jù)采集 , 高速模擬AD
    的頭像 發(fā)表于 12-25 10:21 ?613次閱讀
    AD<b class='flag-5'>采集</b>卡設(shè)計(jì)方案:630-基于PCIe的<b class='flag-5'>高速</b>模擬AD<b class='flag-5'>采集</b>卡

    基于嵌入式系統(tǒng)高速數(shù)據(jù)采集的平臺(tái)研究

    電子發(fā)燒友網(wǎng)站提供《基于嵌入式系統(tǒng)高速數(shù)據(jù)采集的平臺(tái)研究.pdf》資料免費(fèi)下載
    發(fā)表于 10-18 09:33 ?0次下載
    基于嵌入式<b class='flag-5'>系統(tǒng)</b>的<b class='flag-5'>高速</b><b class='flag-5'>數(shù)據(jù)采集</b>的平臺(tái)研究

    利用FPGA設(shè)計(jì)基于LVDS的圖像數(shù)據(jù)采集傳輸系統(tǒng)

    和狀態(tài)參數(shù)信號(hào)以及控制信號(hào)是獨(dú)立產(chǎn)生的,因此需要設(shè)計(jì)一種系統(tǒng)能夠?qū)⑼獠吭O(shè)備產(chǎn)生的圖像數(shù)據(jù)和狀態(tài)控制信號(hào)同步采集,并能長距離高速傳輸,綜合考慮到圖像
    的頭像 發(fā)表于 09-28 10:35 ?1444次閱讀

    基于ARM和FPGA設(shè)計(jì)高速圖像數(shù)據(jù)采集傳輸系統(tǒng)

    的靈活性和FPGA的并行性的特點(diǎn),設(shè)計(jì)了一種基于ARM+FPGA高速圖像數(shù)據(jù)采集傳輸系統(tǒng)。所選用的ARM體系結(jié)構(gòu)是32位嵌入式RISC微處
    的頭像 發(fā)表于 09-27 10:45 ?1135次閱讀

    基于ARM與線性CCD的高速數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

    接口,它使電路工作在更加平穩(wěn)、簡(jiǎn)潔而易丁控制,同時(shí)也提高了ARM的工作效率。為了提高通信速度,這里采用通用申行總線(USB)技術(shù)米與PC進(jìn)行通信。ARM是用來控制主處理器的數(shù)據(jù)采集數(shù)據(jù)的計(jì)算和數(shù)據(jù)傳輸。結(jié)果證明,整個(gè)
    發(fā)表于 09-26 07:41