0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

基于Verilog的經(jīng)典數(shù)字電路設(shè)計(jì)(5)譯碼器

冬至子 ? 來(lái)源:新芯設(shè)計(jì) ? 作者:新芯設(shè)計(jì) ? 2023-10-09 17:20 ? 次閱讀

引言

前面講完了編碼器,其實(shí)不知不覺(jué)地,也順便把譯碼器也講了,畢竟,二者是一個(gè)相反操作的過(guò)程,類似于加減,前進(jìn)與后退,調(diào)制與解調(diào),F(xiàn)FT 和 IFFT 等等。

譯碼器的邏輯功能就是將每一個(gè)輸入的二進(jìn)制代碼轉(zhuǎn)為對(duì)應(yīng)的多輸出高、低電平信號(hào)或另外一個(gè)代碼,是編碼器的逆運(yùn)算,譯碼器又可以分為變量譯碼和顯示譯碼。變量譯碼器一般是一種較少輸入變?yōu)檩^多輸出的器件,常見(jiàn)的有 N 線 - 2^N 線譯碼和 8421BCD 碼譯碼兩類;顯示譯碼器用來(lái)將二進(jìn)制數(shù)轉(zhuǎn)換成對(duì)應(yīng)的七段碼,一般其可分為驅(qū)動(dòng) LED 和驅(qū)動(dòng) LCD 兩類。

一、變量譯碼器的 Verilog 代碼實(shí)現(xiàn)和 RTL 電路實(shí)現(xiàn)

module Decoders(
    input wire [2:0] b, // 輸入信號(hào)_未譯碼
    output reg [7:0] d // 輸出信號(hào)_已譯碼
    );

    reg d = 8'b0000_0000;

    always @ ( b ) begin
        case ( b )
            3'b000 : d <= 8'b0000_0001;
            3'b001 : d <= 8'b0000_0010;
            3'b010 : d <= 8'b0000_0100;
            3'b100 : d <= 8'b0001_0000;
            3'b101 : d <= 8'b0010_0000;
            3'b110 : d <= 8'b0100_0000;
            3'b111 : d <= 8'b1000_0000;
            default: d <= 8'b0000_0000;
        endcase
    end

endmodule

這是一個(gè) 3 線 ? 8 線變量譯碼器,基于查找表 LUT(Look Up Table)的方式實(shí)現(xiàn)的一個(gè)電路,其 RTL 電路圖如下所示:

圖片

變量譯碼器的 RTL 電路圖

這里的譯碼器,其實(shí)就只是基于 LUT 的譯碼器,是最簡(jiǎn)單的,并沒(méi)有經(jīng)過(guò)復(fù)雜的運(yùn)算操作,其思想只是簡(jiǎn)單地輸入地址,然后輸入地址所在的數(shù)據(jù)即可。

譯碼是編碼的逆過(guò)程,在編碼時(shí),每一種二進(jìn)制代碼,都賦予了特定的含義,即都表示了一個(gè)確定的信號(hào)或者對(duì)象;把代碼狀態(tài)的特定含義 “翻譯” 出來(lái)的過(guò)程叫做譯碼,實(shí)現(xiàn)譯碼操作的電路稱為譯碼器;或者說(shuō),譯碼器是可以將輸入二進(jìn)制代碼的狀態(tài)翻譯成輸出信號(hào),以表示其原來(lái)含義的電路。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 二進(jìn)制
    +關(guān)注

    關(guān)注

    2

    文章

    761

    瀏覽量

    41475
  • LED驅(qū)動(dòng)
    +關(guān)注

    關(guān)注

    72

    文章

    971

    瀏覽量

    137775
  • 譯碼器
    +關(guān)注

    關(guān)注

    4

    文章

    310

    瀏覽量

    50156
  • RTL
    RTL
    +關(guān)注

    關(guān)注

    1

    文章

    384

    瀏覽量

    59515
  • Verilog設(shè)計(jì)
    +關(guān)注

    關(guān)注

    0

    文章

    20

    瀏覽量

    6501
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    40. 譯碼器 (5)#譯碼器 #數(shù)字電路

    元器件譯碼器數(shù)字電路譯碼器電路設(shè)計(jì)分析
    電路設(shè)計(jì)快學(xué)
    發(fā)布于 :2022年07月27日 16:39:43

    數(shù)字電路設(shè)計(jì)Verilog HDL

    數(shù)字電路設(shè)計(jì)Verilog HDL
    發(fā)表于 07-16 16:21

    數(shù)字電子電路技術(shù)--譯碼器及其應(yīng)用

    數(shù)字電子電路技術(shù)--譯碼器及其應(yīng)用[hide][/hide]
    發(fā)表于 05-01 21:30

    數(shù)字電路設(shè)計(jì)的基本方法有哪些

    化簡(jiǎn)→畫邏輯電路圖。時(shí)序電路設(shè)計(jì):列原始狀態(tài)轉(zhuǎn)移圖和表→狀態(tài)優(yōu)化→狀態(tài)分配→觸發(fā)選型→求解方程式→畫邏輯電路圖。在實(shí)際應(yīng)用中,數(shù)字電路設(shè)計(jì)
    發(fā)表于 02-27 11:55

    譯碼器定義

    譯碼器1. 譯碼器定義譯碼器是一種用以檢測(cè)輸入位(碼)的特定組合是否存在,并以特定的輸出電平來(lái)指示這種特定碼的存在的數(shù)字電路?!?b class='flag-5'>數(shù)字電子
    發(fā)表于 12-07 09:37

    譯碼器

    譯碼器 譯碼是編碼的逆過(guò)程,即將某個(gè)二進(jìn)制翻譯成電路的某種狀態(tài)。實(shí)現(xiàn)譯碼操作的電路稱為譯碼器
    發(fā)表于 09-27 12:59 ?1.3w次閱讀
    <b class='flag-5'>譯碼器</b>

    數(shù)碼譯碼器的應(yīng)用

    數(shù)碼譯碼器的應(yīng)用:譯碼器課件ppt
    發(fā)表于 12-17 14:31 ?1110次閱讀
    數(shù)碼<b class='flag-5'>譯碼器</b>的應(yīng)用

    集成電路譯碼器

    集成電路譯碼器 1.74138集成譯碼器   上圖為常用的集成譯碼器74138,其功
    發(fā)表于 04-07 10:24 ?8376次閱讀
    集成<b class='flag-5'>電路</b><b class='flag-5'>譯碼器</b>

    譯碼器,譯碼器是什么意思

    譯碼器,譯碼器是什么意思 譯碼器是組合邏輯電路的一個(gè)重要的器件,其可以分為:變量譯碼和顯示譯碼
    發(fā)表于 03-08 16:32 ?5454次閱讀

    74HCl38譯碼器數(shù)字電路設(shè)計(jì)中的應(yīng)用

    譯碼器數(shù)字系統(tǒng)中除了常為其它集成電路產(chǎn)生片選信號(hào)之外,還可以作為數(shù)據(jù)分配器、函數(shù)發(fā)生器用。以TTL系列中規(guī)模芯片3/8線譯碼器74HCl38為例介紹了
    發(fā)表于 06-28 09:19 ?2.7w次閱讀
    74HCl38<b class='flag-5'>譯碼器</b>在<b class='flag-5'>數(shù)字電路設(shè)計(jì)</b>中的應(yīng)用

    數(shù)字電路中顯示譯碼器設(shè)計(jì)的分析

    針對(duì)顯示譯碼器設(shè)計(jì)時(shí),輸入、輸出變量難以確定的問(wèn)題,提出了功能解析和變量關(guān)聯(lián)設(shè)計(jì)法。顯示譯碼器輸出經(jīng)驅(qū)動(dòng)器使顯示工作,輸出變量的多少和狀態(tài)取決于顯示的種類,輸
    發(fā)表于 07-12 11:13 ?1.1w次閱讀
    <b class='flag-5'>數(shù)字電路</b>中顯示<b class='flag-5'>譯碼器</b>設(shè)計(jì)的分析

    譯碼器的分類和應(yīng)用

    本文主要介紹了譯碼器的分類和應(yīng)用。譯碼器指的是具有譯碼功能的邏輯電路,譯碼是編碼的逆過(guò)程,它能將二進(jìn)制代碼翻譯成代表某一特定含義的信號(hào)(即
    的頭像 發(fā)表于 04-04 11:51 ?4.2w次閱讀
    <b class='flag-5'>譯碼器</b>的分類和應(yīng)用

    Multisim14.0數(shù)字電路譯碼器實(shí)現(xiàn)函數(shù)的工程文件免費(fèi)下載

    本文檔的主要內(nèi)容詳細(xì)介紹的是Multisim14.0數(shù)字電路譯碼器實(shí)現(xiàn)函數(shù)的工程文件免費(fèi)下載。
    發(fā)表于 05-28 08:00 ?5次下載
    Multisim14.0<b class='flag-5'>數(shù)字電路</b><b class='flag-5'>譯碼器</b>實(shí)現(xiàn)函數(shù)的工程文件免費(fèi)下載

    單片機(jī) 什么是編碼?什么是譯碼器

    譯碼器1. 譯碼器定義譯碼器是一種用以檢測(cè)輸入位(碼)的特定組合是否存在,并以特定的輸出電平來(lái)指示這種特定碼的存在的數(shù)字電路?!?b class='flag-5'>數(shù)字電子
    發(fā)表于 11-24 12:21 ?9次下載
    單片機(jī) 什么是編碼<b class='flag-5'>器</b>?什么是<b class='flag-5'>譯碼器</b>?

    數(shù)字電路設(shè)計(jì)的基本流程

    數(shù)字電路設(shè)計(jì)數(shù)字電路最為關(guān)鍵及重要的一步,今天我們將從各個(gè)流程為大家介紹完整的數(shù)字電路設(shè)計(jì)!
    的頭像 發(fā)表于 07-10 17:14 ?7310次閱讀