0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

仿真測(cè)試1:半加器

冬至子 ? 來源:大哈學(xué)習(xí)紀(jì)錄鋪 ? 作者:張大哈 ? 2023-10-10 13:02 ? 次閱讀

2.1半加器

2.1.1原理推導(dǎo)得到邏輯關(guān)系

圖片

2.1.2 端口定義

做任何模塊前,要確定輸入輸出端口有哪些,有一個(gè)整體的概念;方便以后模塊調(diào)用;

圖片

2.1.3 源代碼(附 test 文件)

源文件

圖片

測(cè)試文件

圖片

2.1.4RTL試圖(兩種)

圖片圖片

2.2實(shí)操(quartus13.1與modelsim_alter的操作,主要是每次重新?lián)炱饋淼臅r(shí)候,都要重新看一遍,所示匯總一次)

2.2.1工程建立

圖片

點(diǎn)擊左上角的File---->New Project Wizard如下圖所示

圖片

圖片

圖片

圖片

至此完成了工程的創(chuàng)建。下面我們就來創(chuàng)建工程頂層文件,我們可以點(diǎn)擊菜單欄的 File?New…,然后彈出如圖所示的新建文件窗口, 在這里我們可以選擇各種需要的設(shè)計(jì)文件格式。可以作為工程頂層設(shè)計(jì)文件的格式主要在 Design Files 類別下,我們選擇 Verilog HDL File并單擊OK 完成文件創(chuàng)建。在主編輯窗口中, 出現(xiàn)了一個(gè)新建的空白的可編輯文件, 我們接著在該文件中輸入實(shí)現(xiàn)實(shí)驗(yàn)功能的一段 Verilog 代碼,并保存文件名為h_addr.v

圖片

自此, 我們的工程創(chuàng)建和設(shè)計(jì)輸入工作已經(jīng)完成。但是為了驗(yàn)證一下設(shè)計(jì)輸入的代碼的基本語(yǔ)法是否正確,可以點(diǎn)擊 Flow→Compilation 下的 Analysis & Elaboration 按鈕,如圖所示。同時(shí)我們可以輸出打印窗口的 Processing 里的信息,包括各種 warning 和 Error。

Error是不得不關(guān)注的,因?yàn)?Error 意味著我們的代碼有語(yǔ)法錯(cuò)誤,后續(xù)的編譯將無法繼續(xù);而warning 則不一定是致命的,但很多時(shí)候 warning 中暗藏玄機(jī),很多潛在的問題都可以從這些條目中尋找到蛛絲馬跡。當(dāng)然了,也并不是說一個(gè)設(shè)計(jì)編譯下來就不可以有 warning,如果能夠確認(rèn)這些 warning 符合我們的設(shè)計(jì)要求,那么可以忽略它。

圖片

最后,在 Analysis & Elaboration 完成后,通常前面的問號(hào)會(huì)變成勾號(hào),表示通過。

2.2.2仿真文件

完成了前面基本的設(shè)計(jì)輸入后, 為了進(jìn)一步的驗(yàn)證代碼所實(shí)現(xiàn)功能的正確性, 我們還需要進(jìn)行仿真測(cè)試。首先我們可以點(diǎn)擊菜單欄的Processing→Start→Start Test Bench Template Writer,隨后彈出提示“Test Bench TemplateWriter was successful“,那么我們就已經(jīng)創(chuàng)建了一個(gè) Verilog測(cè)試腳本,在此腳本中,我們可以設(shè)計(jì)一些測(cè)試激勵(lì)輸入并且觀察相應(yīng)輸出, 借此我們就能夠驗(yàn)證原工程的設(shè)計(jì)代碼是否符合要求。

圖片

我們打開工程路徑下的/simulation/modelsim 文件夾,可以看到一個(gè)名為led_flash.vt 的測(cè)試腳本文件創(chuàng)建了。

我們可以在 Quartus II 中打開這個(gè)文件,并且將其重新編輯(見2.1.3測(cè)試文本撰寫)

完成測(cè)試腳本編寫,我們接著需要打開菜單欄的 Assigement→Settings 選項(xiàng),選擇Category?EDA Tool Setting→Simulation,在右邊的相關(guān)屬性中做如圖所示的設(shè)置,在選中Comple test bench 后,我們要點(diǎn)擊后面的 Test Benches…按鈕去選擇剛才創(chuàng)建的測(cè)試腳本。

圖片

圖片

圖片

圖片

回到 Setting 中也點(diǎn)擊 OK 完成所有相關(guān)設(shè)置。我們還需要打開菜單欄的 Tools→Options配置頁(yè)面,我們選擇 Category 下的 General→EDA Tool Options,然后設(shè)置 ModelSim-Altera軟件安裝路徑(請(qǐng)根據(jù)實(shí)際安裝時(shí)的路徑進(jìn)行設(shè)置) 。

當(dāng) Quartus II 調(diào)用 ModelSim-Altera 軟件進(jìn)行仿真時(shí),會(huì)通過這里所設(shè)置的路徑來查找并啟動(dòng)ModelSim-Altera。

圖片

在仿真測(cè)試前,我們還需要對(duì)工程進(jìn)行一次編譯。點(diǎn)擊 Flow→Compilation 下的 Analysis & Elaboration 按鈕。

仿真測(cè)試的所有準(zhǔn)備工作就緒了,下面我們就可以一鍵完成仿真工作。點(diǎn)擊菜單欄的Tools→Run Simulation Tool→RTL Simulation。隨后 ModelSim-Altera 便啟動(dòng),如圖所示,這ModelSim-Altera 軟件的工作界面。

圖片

3.最終仿真結(jié)果以及打印信息

圖片

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • EDA工具
    +關(guān)注

    關(guān)注

    4

    文章

    264

    瀏覽量

    31538
  • 仿真器
    +關(guān)注

    關(guān)注

    14

    文章

    1008

    瀏覽量

    83442
  • RTL
    RTL
    +關(guān)注

    關(guān)注

    1

    文章

    384

    瀏覽量

    59520
  • 半加器
    +關(guān)注

    關(guān)注

    1

    文章

    27

    瀏覽量

    8747
  • Verilog設(shè)計(jì)
    +關(guān)注

    關(guān)注

    0

    文章

    20

    瀏覽量

    6501
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    [5.3.9]--09的時(shí)序仿真

    仿真
    學(xué)習(xí)電子知識(shí)
    發(fā)布于 :2022年12月13日 19:54:44

    用VHDL寫了一個(gè),Testbench不能出波形

    在Modelsim里仿真運(yùn)行,就是不能出波形,個(gè)人覺得是程序的事,但是就是找不出錯(cuò)誤所在,請(qǐng)大家?guī)兔纯?。。以下?b class='flag-5'>半源程序:library ieee;use
    發(fā)表于 05-11 20:28

    單相橋逆變器仿真技巧資料下載

    單相橋逆變器仿真技巧,1. 拓?fù)渑c控制??單相橋逆變器拓?fù)淙缦拢??電壓環(huán)和電流環(huán)控制圖如下:??調(diào)制方式如下:2. 仿真步驟??單相
    發(fā)表于 07-09 06:21

    對(duì)雙電源波精密整流進(jìn)行仿真

    前面寫了雙電源波精密整流,輸出Vo1和Vo2分別到負(fù)周期和正周期信號(hào),只需要將Vo2-Vo1即可以得到全波整流信號(hào),具體:注意
    發(fā)表于 12-29 06:23

    和全加器是算術(shù)運(yùn)算電路中的基本單元,它們是完成1
    發(fā)表于 04-07 10:34 ?1.5w次閱讀
    <b class='flag-5'>半</b><b class='flag-5'>加</b><b class='flag-5'>器</b>

    基于PXI總線的實(shí)物仿真系統(tǒng)

    實(shí)物仿真作為仿真技術(shù)的一個(gè)分支,是工程領(lǐng)域內(nèi)一種應(yīng)用較為廣泛的仿真技術(shù),是計(jì)算機(jī)仿真回路中接人一些實(shí)物進(jìn)行的試驗(yàn)。
    發(fā)表于 11-16 09:42 ?5次下載
    基于PXI總線的<b class='flag-5'>半</b>實(shí)物<b class='flag-5'>仿真</b>系統(tǒng)

    一種分布式實(shí)物仿真測(cè)試前端系統(tǒng)的研究

    實(shí)現(xiàn)了一套分布式實(shí)物仿真測(cè)試前端系統(tǒng)。經(jīng)過測(cè)試,不同前端間的時(shí)鐘同步精度可達(dá)微秒級(jí),所設(shè)計(jì)前端能夠仿真被測(cè)軟件的外部交聯(lián)設(shè)備實(shí)現(xiàn)SPI總線
    發(fā)表于 01-10 15:04 ?0次下載
    一種分布式<b class='flag-5'>半</b>實(shí)物<b class='flag-5'>仿真</b><b class='flag-5'>測(cè)試</b>前端系統(tǒng)的研究

    全加器是什么?全加器和的區(qū)別?

    是能夠計(jì)算低位進(jìn)位的二進(jìn)制加法電路。與相比,全加器不只考慮本位計(jì)算結(jié)果是否有進(jìn)位,也考慮上一位對(duì)本位的進(jìn)位,可以把多個(gè)一位全加器級(jí)
    發(fā)表于 07-25 11:15 ?7.3w次閱讀
    全加器是什么?全加器和<b class='flag-5'>半</b><b class='flag-5'>加</b><b class='flag-5'>器</b>的區(qū)別?

    和全加器的原理及區(qū)別(結(jié)構(gòu)和功能)

    +加法和全加法是算術(shù)運(yùn)算電路中的基本單元,它們是完成1位二進(jìn)制相加的一種組合邏輯電路。
    的頭像 發(fā)表于 07-25 11:37 ?33.5w次閱讀
    <b class='flag-5'>半</b><b class='flag-5'>加</b><b class='flag-5'>器</b>和全加器的原理及區(qū)別(結(jié)構(gòu)和功能)

    電路原理圖

    電路原理圖免費(fèi)下載。
    發(fā)表于 06-11 10:51 ?24次下載

    真值表

    是實(shí)現(xiàn)兩個(gè)一位二進(jìn)制數(shù)加法運(yùn)算的電子器件,具有被加數(shù)A和加數(shù)B兩個(gè)輸入端、輸出端Y,經(jīng)常被應(yīng)用在算數(shù)運(yùn)算電路中,用于計(jì)算兩個(gè)一位二進(jìn)制相加,不考慮低位進(jìn)位。
    的頭像 發(fā)表于 07-09 09:46 ?5.2w次閱讀
    <b class='flag-5'>半</b><b class='flag-5'>加</b><b class='flag-5'>器</b> <b class='flag-5'>半</b><b class='flag-5'>加</b><b class='flag-5'>器</b>真值表

    vhdl描述

    vhdl描述
    發(fā)表于 02-24 11:08 ?0次下載

    基于FPGA的設(shè)計(jì)

    加法器用于兩個(gè)數(shù)或者多個(gè)數(shù)的和,加法器又分為(half adder)和全加器(full adder)。
    的頭像 發(fā)表于 05-12 14:50 ?833次閱讀
    基于FPGA的<b class='flag-5'>半</b><b class='flag-5'>加</b><b class='flag-5'>器</b>設(shè)計(jì)

    如何去實(shí)現(xiàn)一個(gè)電路的設(shè)計(jì)呢?

    加法器用于兩個(gè)數(shù)或者多個(gè)數(shù)的和,加法器又分為(half adder)和全加器(full adder)。
    的頭像 發(fā)表于 05-22 15:22 ?4375次閱讀
    如何去實(shí)現(xiàn)一個(gè)<b class='flag-5'>半</b><b class='flag-5'>加</b><b class='flag-5'>器</b>電路的設(shè)計(jì)呢?

    請(qǐng)用Verilog分別實(shí)現(xiàn)11位全加器

    當(dāng)多位數(shù)相加時(shí),可用于最低位求和,并給出進(jìn)位數(shù)。第二位的相加有兩個(gè)待加數(shù)和,還有一個(gè)來自前面低位送來的進(jìn)位數(shù)。
    的頭像 發(fā)表于 06-26 16:32 ?2430次閱讀
    請(qǐng)用Verilog分別實(shí)現(xiàn)<b class='flag-5'>1</b>位<b class='flag-5'>半</b><b class='flag-5'>加</b><b class='flag-5'>器</b>和<b class='flag-5'>1</b>位全加器