0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

仿真測(cè)試3:分頻、奇數(shù)、偶數(shù)

冬至子 ? 來源:大哈學(xué)習(xí)紀(jì)錄鋪 ? 作者:張大哈 ? 2023-10-10 14:23 ? 次閱讀

偶分頻

5.1計(jì)數(shù)實(shí)現(xiàn)偶12分頻(占空比50%)

圖片

5.1.1源碼

圖片

5.1.2測(cè)試源碼

圖片

5.1.3仿真

圖片

5.2通過一個(gè)標(biāo)志信號(hào)作為偶6分頻的工作時(shí)鐘(可靠,常用)

圖片

5.2.1源碼

圖片

5.2.2測(cè)試源碼

圖片

5.2.3仿真圖

圖片

這里為什么要說明這兩種方式呢?

這里就要說到FPGA中的全局時(shí)鐘網(wǎng)絡(luò),因?yàn)樵?FPGA 中凡是時(shí)鐘信號(hào)都要連接到全局時(shí)鐘網(wǎng)絡(luò)上,全局時(shí)鐘網(wǎng)絡(luò)也稱為全局時(shí)鐘樹,是 FPGA 廠商專為時(shí)鐘路徑而特殊設(shè)計(jì)的,它能夠使時(shí)鐘信號(hào)到達(dá)每個(gè)寄存器的時(shí)間都盡可能相同,以保證更低的時(shí)鐘偏斜(Skew)和抖動(dòng)(Jitter)。

然而我們采用第一種方式產(chǎn)生的時(shí)鐘clk_out信號(hào)并沒有連接到全局時(shí)鐘網(wǎng)絡(luò)上,這種做法所衍生的潛在問題在低速系統(tǒng)中不易察覺,而在高速系統(tǒng)中就很容易出現(xiàn)問題,但 sys_clk 則是由外部晶振直接通過管腳連接到了 FPGA 的專用時(shí)鐘管腳上,自然就會(huì)連接到全局時(shí)鐘網(wǎng)絡(luò)上,所以在 sys_clk 時(shí)鐘工作下的信號(hào)要比在 clk_out 時(shí)鐘工作下的信號(hào)更容易在高速系統(tǒng)中保持穩(wěn)定。所以第二種方式相對(duì)來說更加安全。

應(yīng)用時(shí)候:

第一種:

圖片

圖片

奇分頻

5.3占空比50%實(shí)現(xiàn)5分頻方法(即5.1方法)

圖片

通過always語句,做一個(gè)上升沿的分頻,與一個(gè)下降沿的分頻,將分頻后的結(jié)果取與運(yùn)算。例如5分頻:先做一個(gè)上升沿觸發(fā)的5分頻,三個(gè)周期低電平,兩個(gè)周期高電平。在做一個(gè)下降沿觸發(fā)的5分頻,三個(gè)周期低電平,兩個(gè)周期高電平。最后將兩個(gè)分頻后的結(jié)果取與運(yùn)算,就是常規(guī)的5分頻。

5.3.1源碼

圖片

5.3.2測(cè)試源碼

圖片

5.3.3仿真圖

圖片

5.4標(biāo)志位方法與5.2一致(不再贅述)在高速系統(tǒng)中,仍采用flag信號(hào)的方法進(jìn)行分頻。

圖片

圖片

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598902
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5253

    瀏覽量

    119201
  • 晶振
    +關(guān)注

    關(guān)注

    33

    文章

    2728

    瀏覽量

    67505
  • 仿真器
    +關(guān)注

    關(guān)注

    14

    文章

    1008

    瀏覽量

    83437
  • CLK
    CLK
    +關(guān)注

    關(guān)注

    0

    文章

    125

    瀏覽量

    17039
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    奇數(shù)分頻如何得到呢? 解讀奇數(shù)分頻和邏輯分析儀(ILA)的使用

    前言: 偶數(shù)分頻容易得到:N倍偶數(shù)分頻,可以通過由待分頻的時(shí)鐘觸發(fā)計(jì)數(shù)器計(jì)數(shù),當(dāng)計(jì)數(shù)器從0計(jì)數(shù)到N/2-1時(shí),輸出時(shí)鐘進(jìn)行翻轉(zhuǎn),并給計(jì)數(shù)器一個(gè)復(fù)位信號(hào),使得下一個(gè)時(shí)鐘從零開始計(jì)數(shù)。以此循環(huán)下去
    的頭像 發(fā)表于 12-28 15:49 ?2827次閱讀

    請(qǐng)問AD9518 VCO偶數(shù)分頻失鎖是什么原因

    ad9518-4,改芯片用過好多次,這次應(yīng)用不同需要輸出800MHz。發(fā)現(xiàn)如下問題:將VCO的分頻設(shè)置0x1e0到偶數(shù)分頻(2、4)都不能鎖定。其他設(shè)置不變,設(shè)置成奇數(shù)分頻就沒有鎖不定的問題;觀察
    發(fā)表于 08-19 07:53

    利用Verilog實(shí)現(xiàn)奇數(shù)分頻

    對(duì)設(shè)計(jì)語言的理解程度。因此很多招聘單位在招聘時(shí)往往要求應(yīng)聘者寫一個(gè)分頻器(比如奇數(shù)分頻)以考核應(yīng)聘人員的設(shè)計(jì)水平和理解程度。下面講講對(duì)各種分頻系數(shù)進(jìn)行分頻的方法:第一,
    發(fā)表于 06-14 06:30

    如何利用Verilog實(shí)現(xiàn)奇數(shù)分頻

    對(duì)設(shè)計(jì)語言的理解程度。因此很多招聘單位在招聘時(shí)往往要求應(yīng)聘者寫一個(gè)分頻器(比如奇數(shù)分頻)以考核應(yīng)聘人員的設(shè)計(jì)水平和理解程度。下面講講對(duì)各種分頻系數(shù)進(jìn)行分頻的方法:第一,
    發(fā)表于 07-09 09:11

    基于Verilog的FPGA分頻設(shè)計(jì)

    給出了一種基于FPGA的分頻電路的設(shè)計(jì)方法.根據(jù)FPGA器件的特點(diǎn)和應(yīng)用范圍,提出了基于Verilog的分頻方法.該方法時(shí)于在FPGA硬件平臺(tái)上設(shè)計(jì)常用的任意偶數(shù)分頻奇數(shù)分頻、半整數(shù)
    發(fā)表于 11-09 09:49 ?355次下載
    基于Verilog的FPGA<b class='flag-5'>分頻</b>設(shè)計(jì)

    用Verilog實(shí)現(xiàn)基于FPGA的通用分頻器的設(shè)計(jì)

    用 Verilog實(shí)現(xiàn)基于FPGA 的通用分頻器的設(shè)計(jì)時(shí)鐘分頻包括奇數(shù)偶數(shù)分頻
    發(fā)表于 07-14 11:32 ?46次下載

    LED奇數(shù)號(hào)燈和偶數(shù)號(hào)燈的交替顯示程序詳解

    LED奇數(shù)號(hào)燈和偶數(shù)號(hào)燈的交替顯示程序詳解,具體的跟隨小編一起來了解一下。
    的頭像 發(fā)表于 05-12 01:53 ?1.2w次閱讀
    LED<b class='flag-5'>奇數(shù)</b>號(hào)燈和<b class='flag-5'>偶數(shù)</b>號(hào)燈的交替顯示程序詳解

    分頻器的作用是什么 半整數(shù)分頻器原理圖分析

    分頻器主要分為偶數(shù)分頻奇數(shù)分頻、半整數(shù)分頻和小數(shù)分頻,如果在設(shè)計(jì)過程中采用參數(shù)化設(shè)計(jì),就可以隨時(shí)改變參量以得到不同的
    發(fā)表于 02-01 01:28 ?1.6w次閱讀
    <b class='flag-5'>分頻</b>器的作用是什么 半整數(shù)<b class='flag-5'>分頻</b>器原理圖分析

    基于復(fù)雜可編程邏輯器件和VHDL語言實(shí)現(xiàn)半整數(shù)分頻器的設(shè)計(jì)

    在數(shù)字系統(tǒng)設(shè)計(jì)中,根據(jù)不同的設(shè)計(jì)需要,經(jīng)常會(huì)遇到偶數(shù)分頻、奇數(shù)分頻、半整數(shù)分頻等,有的還要求等占空比。在基于cpld(復(fù)雜可編程邏輯器件)的數(shù)字系統(tǒng)設(shè)計(jì)中,很容易實(shí)現(xiàn)由計(jì)數(shù)器或其級(jí)聯(lián)構(gòu)成各種形式的
    發(fā)表于 06-26 09:36 ?985次閱讀
    基于復(fù)雜可編程邏輯器件和VHDL語言實(shí)現(xiàn)半整數(shù)<b class='flag-5'>分頻</b>器的設(shè)計(jì)

    PCB多層板為什么都是偶數(shù)層?奇數(shù)有何風(fēng)險(xiǎn)?

    ?相對(duì)來說,偶數(shù)層的 PCB 確實(shí)要多于奇數(shù)層的 PCB,也更有優(yōu)勢(shì)。 1、成本較低因?yàn)樯僖粚咏橘|(zhì)和敷箔,奇數(shù) PCB 板原材料的成本略低于偶數(shù)層 PCB。但是
    的頭像 發(fā)表于 10-30 16:02 ?706次閱讀

    奇數(shù)分頻器的介紹和實(shí)現(xiàn)

    因?yàn)?b class='flag-5'>偶數(shù)分頻器過于簡(jiǎn)單,所以我們從奇數(shù)分頻器開始說起8 01 奇數(shù)分頻器 ? ? 假設(shè)我們要實(shí)現(xiàn)一個(gè)2N+1分頻分頻器,就需要高電平占N+
    的頭像 發(fā)表于 03-12 15:44 ?6224次閱讀
    <b class='flag-5'>奇數(shù)分頻</b>器的介紹和實(shí)現(xiàn)

    奇數(shù)分頻器的設(shè)計(jì)

    上一篇文章介紹了偶分頻,今天來介紹一下奇數(shù)分頻器的設(shè)計(jì)。
    的頭像 發(fā)表于 03-23 15:06 ?923次閱讀
    <b class='flag-5'>奇數(shù)分頻</b>器的設(shè)計(jì)

    小數(shù)分頻器的設(shè)計(jì)

    前面分別介紹了偶數(shù)奇數(shù)分頻(即整數(shù)分頻),接下來本文介紹小數(shù)分頻
    的頭像 發(fā)表于 03-23 15:08 ?942次閱讀
    小數(shù)<b class='flag-5'>分頻</b>器的設(shè)計(jì)

    偶數(shù)分頻、奇數(shù)分頻、半整數(shù)分頻和小數(shù)分頻詳解

    初學(xué) Verilog 時(shí)許多模塊都是通過計(jì)數(shù)與分頻完成設(shè)計(jì),例如 PWM 脈寬調(diào)制、頻率計(jì)等。而分頻邏輯往往通過計(jì)數(shù)邏輯完成。本節(jié)主要對(duì)偶數(shù)分頻、奇數(shù)分頻、半整數(shù)
    的頭像 發(fā)表于 03-29 11:38 ?4305次閱讀
    <b class='flag-5'>偶數(shù)分頻</b>、<b class='flag-5'>奇數(shù)分頻</b>、半整數(shù)<b class='flag-5'>分頻</b>和小數(shù)<b class='flag-5'>分頻</b>詳解

    一文讀懂奇數(shù)代和偶數(shù)代的差別 奇數(shù)代和偶數(shù)代有什么不同?

    通信界,往往喜歡使用奇數(shù)代和偶數(shù)代的字眼兒,例如蜂窩網(wǎng)絡(luò)的奇數(shù)代1G/3G/5G,偶數(shù)代2G/4G/6G;人們往往會(huì)有很多總結(jié)和評(píng)價(jià):
    的頭像 發(fā)表于 05-23 09:41 ?908次閱讀
    一文讀懂<b class='flag-5'>奇數(shù)</b>代和<b class='flag-5'>偶數(shù)</b>代的差別 <b class='flag-5'>奇數(shù)</b>代和<b class='flag-5'>偶數(shù)</b>代有什么不同?