0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

數(shù)字電路實(shí)驗(yàn)—4位奇偶校驗(yàn)器設(shè)計(jì)

冬至子 ? 來源:電子森林 ? 作者:STEP FPGA ? 2023-10-16 17:21 ? 次閱讀

實(shí)驗(yàn)?zāi)康?/strong>

  • (1)熟悉和掌握FPGA開發(fā)流程和Lattice Diamond軟件使用方法;
  • (2)通過實(shí)驗(yàn)理解和掌握奇偶校驗(yàn)器原理;
  • (3)掌握用Verilog HDL描述奇偶校驗(yàn)器的方法。

實(shí)驗(yàn)任務(wù)

設(shè)計(jì)一個(gè)4位奇偶校驗(yàn)器電路。要求當(dāng)輸入的4位二進(jìn)制碼中有奇數(shù)個(gè)“1”時(shí),輸出為“1”,否則輸出為“0”。

實(shí)驗(yàn)原理

奇偶校驗(yàn),即判斷輸入變量中1的個(gè)數(shù)。當(dāng)輸入變量中1的個(gè)數(shù)是奇數(shù)時(shí),輸出為1。當(dāng)輸入變量中1的個(gè)數(shù)是偶數(shù)時(shí),輸出為0。真值表及邏輯表達(dá)式如下所示:

Y=A’B’C’D+A’B’CD’+A’BC’D’+A’BCD+AB’C’D’+AB’CD+ABC’D+ABCD’

經(jīng)過化簡得到:Y=A⊕B⊕C⊕D

圖片

邏輯電路

圖片

Verilog HDL建模描述

1.jpg

實(shí)驗(yàn)步驟

  1. 打開Lattice Diamond,建立工程。
  2. 新建Verilog HDL設(shè)計(jì)文件,并鍵入設(shè)計(jì)代碼。
  3. 綜合并分配管腳,將輸入信號(hào)(a,b,c,d)分配至撥碼開關(guān)(M7,M8,M9,M10),將輸出信號(hào)led分配至板卡上的LED(N13)。
  4. 構(gòu)建并輸出編程文件,燒寫至FPGA的Flash之中。
  5. 改變撥碼開關(guān),觀察輸出結(jié)果。
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 二進(jìn)制
    +關(guān)注

    關(guān)注

    2

    文章

    761

    瀏覽量

    41476
  • 奇偶校驗(yàn)器
    +關(guān)注

    關(guān)注

    0

    文章

    7

    瀏覽量

    7117
  • 數(shù)字電路
    +關(guān)注

    關(guān)注

    193

    文章

    1579

    瀏覽量

    80181
  • FPGA開發(fā)
    +關(guān)注

    關(guān)注

    1

    文章

    42

    瀏覽量

    14824
  • HDL語言
    +關(guān)注

    關(guān)注

    0

    文章

    46

    瀏覽量

    8897
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    UART中有奇偶校驗(yàn)位和無奇偶校驗(yàn)位的數(shù)據(jù)傳輸是怎樣的?

    例如,我使用 8 位數(shù)據(jù)傳輸類型。奇偶校驗(yàn)位共有 3 種類型無奇偶校驗(yàn)校驗(yàn)校驗(yàn)----------------------偶校驗(yàn)我假設(shè)
    發(fā)表于 12-08 07:24

    奇偶校驗(yàn)

    奇偶校驗(yàn)碼   奇偶校驗(yàn)碼是一種開銷最小,能發(fā)現(xiàn)數(shù)據(jù)代碼中一出錯(cuò)情況的編碼,常用于存儲(chǔ)讀寫檢查,或ASCII字符、其它類
    發(fā)表于 10-13 16:42 ?5154次閱讀

    奇偶校驗(yàn),奇偶校驗(yàn)是什么意思

    奇偶校驗(yàn),奇偶校驗(yàn)是什么意思 奇偶校驗(yàn)定義 為了系統(tǒng)的可靠性,對(duì)于位數(shù)
    發(fā)表于 03-08 17:32 ?2144次閱讀

    奇偶校驗(yàn)碼,奇偶校驗(yàn)碼原理是什么?

    奇偶校驗(yàn)碼,奇偶校驗(yàn)碼原理是什么? 奇偶校驗(yàn)碼是奇校驗(yàn)碼和偶校驗(yàn)碼的統(tǒng)稱,是一種最基本的檢錯(cuò)碼。它是由n-1
    發(fā)表于 03-17 17:39 ?6.2w次閱讀

    奇偶校驗(yàn)_奇偶校驗(yàn)設(shè)計(jì)程序

    本內(nèi)容提供了奇偶校驗(yàn)_奇偶校驗(yàn)設(shè)計(jì)的程序代碼,希望對(duì)大家有幫助
    發(fā)表于 11-11 10:04 ?5647次閱讀

    stm32串口奇偶校驗(yàn)

    STM32串口通信使用奇偶校驗(yàn)的時(shí)候應(yīng)該設(shè)置數(shù)據(jù)長度9bit,奇偶校驗(yàn)是硬件完成的,并且stm32用校驗(yàn)位時(shí),數(shù)據(jù)要選9
    的頭像 發(fā)表于 07-23 09:26 ?1.1w次閱讀

    stm32 usart奇偶校驗(yàn)如何配置

    stm32 usart奇偶校驗(yàn)如何配置?或許你在stm32 usart奇偶校驗(yàn)過程中會(huì)遇到如下一些坑,stm32 usart偶校驗(yàn)錯(cuò)誤標(biāo)志以及出現(xiàn)偶
    的頭像 發(fā)表于 07-23 09:55 ?7210次閱讀
    stm32 usart<b class='flag-5'>奇偶校驗(yàn)</b>如何配置

    單片機(jī)串口分析起始停止奇偶校驗(yàn)位

    串口解析串口配置1.波特率2.停止3.數(shù)據(jù)4.奇偶校驗(yàn)位比特率:通訊的頻率停止:可以選擇1/1.5/2三個(gè)選擇數(shù)據(jù)
    發(fā)表于 11-20 10:36 ?16次下載
    單片機(jī)串口分析起始<b class='flag-5'>位</b>停止<b class='flag-5'>位</b><b class='flag-5'>奇偶校驗(yàn)位</b>

    增強(qiáng)FIFO模式下的奇偶校驗(yàn)

    自昊芯推出專題講解SCI串口通訊奇偶校驗(yàn),分為兩期講解,上期主要講解標(biāo)準(zhǔn)SCI模式下的奇偶校驗(yàn),本期主要講解增強(qiáng)FIFO模式下的奇偶校驗(yàn)。
    的頭像 發(fā)表于 11-02 09:30 ?881次閱讀

    FPGA奇偶校驗(yàn)的基本原理及實(shí)現(xiàn)方法

    數(shù)字電路中,數(shù)據(jù)的正確性非常重要。為了保證數(shù)據(jù)的正確性,在傳輸數(shù)據(jù)時(shí)需要添加一些冗余信息,以便在接收端進(jìn)行校驗(yàn)。其中一種常用的校驗(yàn)方式是奇偶校驗(yàn)(Parity Check)。本文將介
    的頭像 發(fā)表于 05-14 14:59 ?2588次閱讀
    FPGA<b class='flag-5'>奇偶校驗(yàn)</b>的基本原理及實(shí)現(xiàn)方法

    什么是奇偶校驗(yàn) 奇偶校驗(yàn)的基本原理 奇偶校驗(yàn)電路什么意思

    什么是奇偶校驗(yàn) 奇偶校驗(yàn)的基本原理 奇偶校驗(yàn)電路什么意思? 奇偶校驗(yàn)是一種用于檢測(cè)二進(jìn)制數(shù)據(jù)中錯(cuò)誤的方法。它的基本原理是在二進(jìn)制數(shù)據(jù)的末尾添
    的頭像 發(fā)表于 10-17 16:16 ?3352次閱讀

    什么是奇偶校驗(yàn)電路奇偶校驗(yàn)是時(shí)序邏輯電路嗎?

    什么是奇偶校驗(yàn)電路?奇偶校驗(yàn)是時(shí)序邏輯電路嗎? 奇偶校驗(yàn)
    的頭像 發(fā)表于 10-17 16:16 ?3193次閱讀

    什么是奇校驗(yàn)和偶校驗(yàn)?常見的奇偶校驗(yàn)方式有哪些?

    什么是奇校驗(yàn)和偶校驗(yàn)?常見的奇偶校驗(yàn)方式有哪些? 1. 奇偶校驗(yàn)是指在數(shù)字通信中采用一種技術(shù)對(duì)傳輸?shù)臄?shù)據(jù)進(jìn)行
    的頭像 發(fā)表于 10-17 16:28 ?9789次閱讀

    奇偶校驗(yàn)和crc校驗(yàn)的區(qū)別 CRC校驗(yàn)奇偶校驗(yàn)之間有什么關(guān)系?

    方法都可以檢測(cè)出特定類型的錯(cuò)誤。 奇偶校驗(yàn)是一種簡單的校驗(yàn)方法,適用于串行傳輸數(shù)據(jù),主要用于檢測(cè)傳輸中的單比特錯(cuò)誤。其原理是通過添加一個(gè)校驗(yàn)位來使原始數(shù)據(jù)的奇偶性成為對(duì)稱的,通過接收端
    的頭像 發(fā)表于 10-17 16:28 ?3053次閱讀

    8到9奇偶校驗(yàn)總線收發(fā)數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《8到9奇偶校驗(yàn)總線收發(fā)數(shù)據(jù)表.pdf》資料免費(fèi)下載
    發(fā)表于 05-15 09:33 ?0次下載
    8<b class='flag-5'>位</b>到9<b class='flag-5'>位</b><b class='flag-5'>奇偶校驗(yàn)</b>總線收發(fā)<b class='flag-5'>器</b>數(shù)據(jù)表